nonblocking: Add comment.
[pspp] / ChangeLog
index d0923da0e919c418345a95bdefcd229a40172c98..1f09ff84580f69088eb2a3222313b86dd76d845b 100644 (file)
--- a/ChangeLog
+++ b/ChangeLog
@@ -1,3 +1,8 @@
+2011-04-17  Bruno Haible  <bruno@clisp.org>
+
+       nonblocking: Add comment.
+       * lib/fcntl.in.h (O_NONBLOCK): Add comment.
+
 2011-04-17  Bruno Haible  <bruno@clisp.org>
 
        nonblocking: Add tests for sockets.