Simplify by using mb_casecmp.
[pspp] / MODULES.html
1 <!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 3.2//EN">
2 <HTML>
3   <HEAD>
4     <TITLE>Gnulib Module List</TITLE>
5     <META NAME="keywords" CONTENT="acl, alloca, alloca-opt, allocsa, argmatch, argp, argz, assert, atexit, backupfile, base64, bcopy, binary-io, byteswap, c-bs-a, c-ctype, c-stack, c-strtod, c-strtold, calloc, canon-host, canonicalize, chdir-long, check-version, chown, classpath, cloexec, closeout, copy-file, csharpcomp, csharpexec, cycle-check, diacrit, dirfd, dirname, dummy, dup2, eealloc, error, euidaccess, exclude, execute, exit, exitfail, extensions, fatal-signal, file-type, fileblocks, filemode, filenamecat, findprog, fnmatch, fnmatch-gnu, fnmatch-posix, fpending, free, fsusage, ftruncate, fts, fts-lgpl, full-read, full-write, fwriteerror, gcd, getaddrinfo, getcwd, getdate, getdelim, getdomainname, getgroups, gethostname, gethrxtime, getline, getloadavg, getlogin_r, getndelim2, getnline, getopt, getpagesize, getpass, getpass-gnu, getsubopt, gettext, gettext-h, gettime, gettimeofday, getugroups, getusershell, glob, group-member, hard-locale, hash, hash-pjw, human, iconv, iconvme, idcache, inet_ntop, inttostr, isdir, javacomp, javaexec, lchown, linebreak, linebuffer, localcharset, lock, long-options, lstat, malloc, mathl, mbchar, mbfile, mbiter, mbswidth, md5, memcasecmp, memchr, memcmp, memcoll, memcpy, memmem, memmove, mempcpy, memrchr, memset, minmax, mkdir, mkdir-p, mkdtemp, mkstemp, mktime, modechange, mountlist, nanosleep, obstack, openat, pagealign_alloc, pathmax, pathname, physmem, pipe, poll, posixtm, posixver, progname, putenv, quote, quotearg, raise, readline, readlink, readtokens, readtokens0, readutmp, realloc, regex, rename, restrict, rmdir, rpmatch, safe-read, safe-write, same, save-cwd, savedir, setenv, settime, sh-quote, sha1, sig2str, size_max, snprintf, ssize_t, stat-macros, stdbool, stdint, stdio-safer, stpcpy, stpncpy, strcase, strchrnul, strcspn, strdup, strerror, strftime, strndup, strnlen, strnlen1, strpbrk, strsep, strstr, strtod, strtoimax, strtok_r, strtol, strtoll, strtoul, strtoull, strtoumax, strverscmp, sysexits, time_r, timegm, timespec, tls, tzset, ucs4-utf16, ucs4-utf8, ullong_max, unicodeio, unistd-safer, unlinkdir, unlocked-io, userspec, utf16-ucs4, utf8-ucs4, utime, utimecmp, utimens, vasnprintf, vasprintf, version-etc, version-etc-fsf, visibility, vsnprintf, wait-process, xalloc, xalloc-die, xallocsa, xgetcwd, xgetdomainname, xgethostname, xmemcoll, xnanosleep, xreadlink, xsetenv, xsize, xstrndup, xstrtod, xstrtoimax, xstrtol, xstrtoumax, xvasprintf, yesno">
6   </HEAD>
7   <BODY>
8     <H1>Gnulib Module List</H1>
9     This is a list of the modules which make up gnulib, with dependencies.
10     <H2><A HREF="#ansic_sup">Support for systems lacking ANSI C 89</A></H2>
11     <TABLE>
12       <TR WIDTH="100%">
13         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-bs-a">c-bs-a</A>
14         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine portability of "\a".
15       </TR>
16       <TR WIDTH="100%">
17         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=assert">assert</A>
18         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Allow the installer to disable assertions through "configure --disable-assert".
19       </TR>
20       <TR WIDTH="100%">
21         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=dummy">dummy</A>
22         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">A dummy file, to make sure the library is non-empty.
23       </TR>
24       <TR WIDTH="100%">
25         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=exit">exit</A>
26         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/exit.html">exit</A>() function: program termination.
27       </TR>
28       <TR WIDTH="100%">
29         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=atexit">atexit</A>
30         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/atexit.html">atexit</A>() function: register a function to be called at program termination.
31       </TR>
32       <TR WIDTH="100%">
33         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtod">strtod</A>
34         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtod.html">strtod</A>() function: convert string to 'double'.
35       </TR>
36       <TR WIDTH="100%">
37         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtol">strtol</A>
38         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtol.html">strtol</A>() function: convert string to 'long'.
39       </TR>
40       <TR WIDTH="100%">
41         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoul">strtoul</A>
42         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoul.html">strtoul</A>() function: convert string to 'unsigned long'.
43       </TR>
44       <TR WIDTH="100%">
45         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memchr">memchr</A>
46         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memchr.html">memchr</A>() function: scan memory for a byte.
47       </TR>
48       <TR WIDTH="100%">
49         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memcmp">memcmp</A>
50         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memcmp.html">memcmp</A>() function: compare memory areas.
51       </TR>
52       <TR WIDTH="100%">
53         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memcpy">memcpy</A>
54         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memcpy.html">memcpy</A>() function: copy memory area.
55       </TR>
56       <TR WIDTH="100%">
57         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memmove">memmove</A>
58         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memmove.html">memmove</A>() function: copy memory area.
59       </TR>
60       <TR WIDTH="100%">
61         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memset">memset</A>
62         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/memset.html">memset</A>() function: fill memory with a constant byte.
63       </TR>
64       <TR WIDTH="100%">
65         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strcspn">strcspn</A>
66         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strcspn.html">strcspn</A>() function: search a string for any of a set of characters.
67       </TR>
68       <TR WIDTH="100%">
69         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strpbrk">strpbrk</A>
70         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strpbrk.html">strpbrk</A>() function: search a string for any of a set of characters.
71       </TR>
72       <TR WIDTH="100%">
73         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strstr">strstr</A>
74         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strstr.html">strstr</A>() function: search for a substring in a string.
75       </TR>
76       <TR WIDTH="100%">
77         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strerror">strerror</A>
78         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strerror.html">strerror</A>() function: return string describing error code.
79       </TR>
80       <TR WIDTH="100%">
81         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mktime">mktime</A>
82         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/mktime.html">mktime</A>() function: convert broken-down time to linear time.
83       </TR>
84     </TABLE>
85     Most of these modules are not listed among dependencies below, for simplicity.
86     <H2><A HREF="#ansic_enh">Enhancements for ANSI C 89 functions</A></H2>
87     <H3><A HREF="#ansic_enh_stdlib_memory">Memory management functions &lt;stdlib.h&gt;</A></H3>
88     <TABLE>
89       <TR WIDTH="100%">
90         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=calloc">calloc</A>
91         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/calloc.html">calloc</A>() function that is glibc compatible.
92       </TR>
93       <TR WIDTH="100%">
94         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=eealloc">eealloc</A>
95         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation with expensive empty allocations (glibc compatible).
96       </TR>
97       <TR WIDTH="100%">
98         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=free">free</A>
99         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Work around incompatibility on older systems where free (NULL) fails.
100       </TR>
101       <TR WIDTH="100%">
102         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=malloc">malloc</A>
103         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/malloc.html">malloc</A>() function that is glibc compatible.
104       </TR>
105       <TR WIDTH="100%">
106         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=realloc">realloc</A>
107         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/realloc.html">realloc</A>() function that is glibc compatible.
108       </TR>
109       <TR WIDTH="100%">
110         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=pagealign_alloc">pagealign_alloc</A>
111         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation aligned on page boundaries.
112       </TR>
113     </TABLE>
114     <H3><A HREF="#ansic_enh_time_datetime">Date and time &lt;time.h&gt;</A></H3>
115     <TABLE>
116       <TR WIDTH="100%">
117         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strftime">strftime</A>
118         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">nstrftime() function: convert date and time to string, with GNU extensions.
119       </TR>
120     </TABLE>
121     <H2><A HREF="#ansic_ext">Extra functions based on ANSI C 89</A></H2>
122     <H3><A HREF="#ansic_ext_stdlib_memory">Memory management functions &lt;stdlib.h&gt;</A></H3>
123     <TABLE>
124       <TR WIDTH="100%">
125         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xsize">xsize</A>
126         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Checked size_t computations.
127       </TR>
128       <TR WIDTH="100%">
129         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xalloc">xalloc</A>
130         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation with out-of-memory checking.  Also see xalloc-die.
131       </TR>
132       <TR WIDTH="100%">
133         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xalloc-die">xalloc-die</A>
134         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Report a memory allocation failure and exit.
135       </TR>
136       <TR WIDTH="100%">
137         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=alloca">alloca</A>
138         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation on the stack.
139       </TR>
140       <TR WIDTH="100%">
141         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=alloca-opt">alloca-opt</A>
142         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation on the stack, as an optional optimization.
143 It allows using the alloca() macro if and only if the autoconf tests define
144 HAVE_ALLOCA.
145       </TR>
146       <TR WIDTH="100%">
147         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=allocsa">allocsa</A>
148         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Safe automatic memory allocation.
149       </TR>
150       <TR WIDTH="100%">
151         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xallocsa">xallocsa</A>
152         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Safe automatic memory allocation with out of memory checking.
153       </TR>
154     </TABLE>
155     <H3><A HREF="#ansic_ext_stdlib_arith">Integer arithmetic functions &lt;stdlib.h&gt;</A></H3>
156     <TABLE>
157       <TR WIDTH="100%">
158         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gcd">gcd</A>
159         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Greatest common divisor.
160       </TR>
161       <TR WIDTH="100%">
162         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=minmax">minmax</A>
163         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">MIN, MAX macros.
164       </TR>
165     </TABLE>
166     <H3><A HREF="#ansic_ext_stdlib_env">Environment variables &lt;stdlib.h&gt;</A></H3>
167     <TABLE>
168       <TR WIDTH="100%">
169         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=putenv">putenv</A>
170         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/putenv.html">putenv</A>() function: change or add an environment variable.
171       </TR>
172       <TR WIDTH="100%">
173         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=setenv">setenv</A>
174         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/setenv.html">setenv</A>() function: set an environment variable.
175 <A HREF="http://www.opengroup.org/susv3xsh/unsetenv.html">unsetenv</A>() function: remove an environment variable.
176       </TR>
177       <TR WIDTH="100%">
178         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xsetenv">xsetenv</A>
179         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">xsetenv() function: set an environment variable, with out-of-memory checking.
180 <A HREF="http://www.opengroup.org/susv3xsh/unsetenv.html">unsetenv</A>() function: remove an environment variable.
181       </TR>
182     </TABLE>
183     <H3><A HREF="#ansic_ext_ctype">Character handling &lt;ctype.h&gt;</A></H3>
184     <TABLE>
185       <TR WIDTH="100%">
186         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-ctype">c-ctype</A>
187         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Character handling in C locale.
188       </TR>
189     </TABLE>
190     <H3><A HREF="#ansic_ext_string">String handling &lt;string.h&gt;</A></H3>
191     <TABLE>
192       <TR WIDTH="100%">
193         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=bcopy">bcopy</A>
194         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Old BSD <A HREF="http://www.opengroup.org/susv3xsh/bcopy.html">bcopy</A>() function: copy memory area.
195       </TR>
196       <TR WIDTH="100%">
197         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memmem">memmem</A>
198         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">memmem() function: locate first substring in a buffer.
199       </TR>
200       <TR WIDTH="100%">
201         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mempcpy">mempcpy</A>
202         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">mempcpy() function: copy memory area, return point after last written byte.
203       </TR>
204       <TR WIDTH="100%">
205         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memrchr">memrchr</A>
206         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">memrchr() function: scan memory for a byte, from the right end.
207       </TR>
208       <TR WIDTH="100%">
209         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stpcpy">stpcpy</A>
210         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">stpcpy() function: copy a string, returning a pointer to its end.
211       </TR>
212       <TR WIDTH="100%">
213         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stpncpy">stpncpy</A>
214         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">stpncpy() function: copy a size-bounded string, returning a pointer to its end.
215       </TR>
216       <TR WIDTH="100%">
217         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strcase">strcase</A>
218         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Case-insensitive string comparison functions.
219       </TR>
220       <TR WIDTH="100%">
221         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strchrnul">strchrnul</A>
222         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strchrnul(): Find the first occurrence of C in S or the final NUL byte.
223       </TR>
224       <TR WIDTH="100%">
225         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strdup">strdup</A>
226         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strdup.html">strdup</A>() function: duplicate a string.
227       </TR>
228       <TR WIDTH="100%">
229         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strnlen">strnlen</A>
230         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strnlen() function: determine the length of a size-bounded string.
231       </TR>
232       <TR WIDTH="100%">
233         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strnlen1">strnlen1</A>
234         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Quick string length estimation.
235       </TR>
236       <TR WIDTH="100%">
237         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strndup">strndup</A>
238         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strndup() function: duplicate a size-bounded string.
239       </TR>
240       <TR WIDTH="100%">
241         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strsep">strsep</A>
242         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">strsep() function: extract token from string.
243       </TR>
244       <TR WIDTH="100%">
245         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrndup">xstrndup</A>
246         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Duplicate a bounded initial segment of a string, with out-of-memory checking.
247       </TR>
248     </TABLE>
249     <H3><A HREF="#ansic_ext_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
250     <TABLE>
251       <TR WIDTH="100%">
252         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-strtod">c-strtod</A>
253         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to double in C locale.
254       </TR>
255       <TR WIDTH="100%">
256         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-strtold">c-strtold</A>
257         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to long double in C locale.
258       </TR>
259       <TR WIDTH="100%">
260         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrtod">xstrtod</A>
261         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to 'double', with error checking.
262       </TR>
263       <TR WIDTH="100%">
264         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrtol">xstrtol</A>
265         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to 'long` or 'unsigned long', with error checking.
266       </TR>
267     </TABLE>
268     <H3><A HREF="#ansic_ext_time_datetime">Date and time &lt;time.h&gt;</A></H3>
269     <TABLE>
270       <TR WIDTH="100%">
271         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getdate">getdate</A>
272         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert a date/time string to linear time.
273       </TR>
274       <TR WIDTH="100%">
275         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=timegm">timegm</A>
276         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert calendar time to simple time, inverse of mktime.
277       </TR>
278       <TR WIDTH="100%">
279         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=tzset">tzset</A>
280         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">tzset - initialize time conversion information
281       </TR>
282     </TABLE>
283     <H3><A HREF="#ansic_ext_stdio">Input/Output &lt;stdio.h&gt;</A></H3>
284     <TABLE>
285       <TR WIDTH="100%">
286         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unlocked-io">unlocked-io</A>
287         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Enable faster, non-thread-safe stdio functions if available.
288       </TR>
289       <TR WIDTH="100%">
290         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fwriteerror">fwriteerror</A>
291         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Detect write error on a stream.
292       </TR>
293       <TR WIDTH="100%">
294         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=vasnprintf">vasnprintf</A>
295         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">vsprintf with automatic memory allocation and bounded output size.
296       </TR>
297       <TR WIDTH="100%">
298         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=vasprintf">vasprintf</A>
299         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">vsprintf with automatic memory allocation.
300       </TR>
301       <TR WIDTH="100%">
302         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xvasprintf">xvasprintf</A>
303         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">vasprintf and asprintf with out-of-memory checking.  Also see xalloc-die.
304       </TR>
305     </TABLE>
306     <H3><A HREF="#ansic_ext_signal">Signal handling &lt;signal.h&gt;</A></H3>
307     <TABLE>
308       <TR WIDTH="100%">
309         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fatal-signal">fatal-signal</A>
310         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Emergency actions in case of a fatal signal.
311       </TR>
312       <TR WIDTH="100%">
313         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=raise">raise</A>
314         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Send a signal to the executing process.
315       </TR>
316     </TABLE>
317     <H3><A HREF="#ansic_ext_argv">Command-line arguments</A></H3>
318     <TABLE>
319       <TR WIDTH="100%">
320         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=argmatch">argmatch</A>
321         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Search for an exact or abbreviated match of a string in an array of strings.
322       </TR>
323       <TR WIDTH="100%">
324         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=version-etc">version-etc</A>
325         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Print --version output.
326       </TR>
327       <TR WIDTH="100%">
328         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=version-etc-fsf">version-etc-fsf</A>
329         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Copyright variable for FSF projects
330       </TR>
331       <TR WIDTH="100%">
332         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=long-options">long-options</A>
333         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Handle --help and --version options.
334       </TR>
335     </TABLE>
336     <H3><A HREF="#ansic_ext_crypto">Cryptographic computations</A></H3>
337     <TABLE>
338       <TR WIDTH="100%">
339         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=md5">md5</A>
340         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compute MD5 checksum.
341       </TR>
342       <TR WIDTH="100%">
343         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=sha1">sha1</A>
344         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compute SHA1 checksum.
345       </TR>
346     </TABLE>
347     <H3><A HREF="#ansic_ext_misc">Misc</A></H3>
348     <TABLE>
349       <TR WIDTH="100%">
350         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=base64">base64</A>
351         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Encode binary data using printable characters (base64).
352       </TR>
353       <TR WIDTH="100%">
354         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=check-version">check-version</A>
355         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Check version string compatibility.
356       </TR>
357       <TR WIDTH="100%">
358         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=diacrit">diacrit</A>
359         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Decomposition of composed ISO-8859-1 characters.
360       </TR>
361       <TR WIDTH="100%">
362         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getline">getline</A>
363         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a line from a stream.
364       </TR>
365       <TR WIDTH="100%">
366         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getdelim">getdelim</A>
367         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read character delimited data from a stream.
368       </TR>
369       <TR WIDTH="100%">
370         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getnline">getnline</A>
371         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a line from a stream, with bounded memory allocation.
372       </TR>
373       <TR WIDTH="100%">
374         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getndelim2">getndelim2</A>
375         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a line from a stream, stopping at one of 2 delimiters, with bounded
376 memory allocation.
377       </TR>
378       <TR WIDTH="100%">
379         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=linebuffer">linebuffer</A>
380         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a line from a stream.
381       </TR>
382       <TR WIDTH="100%">
383         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=obstack">obstack</A>
384         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Memory allocation, optimized for stack-like allocation patterns.
385       </TR>
386       <TR WIDTH="100%">
387         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=hash-pjw">hash-pjw</A>
388         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compute a hash value for a NUL-terminated string.
389       </TR>
390       <TR WIDTH="100%">
391         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=hash">hash</A>
392         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Parametrizable hash table.
393       </TR>
394       <TR WIDTH="100%">
395         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readline">readline</A>
396         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Simple implementation of readline.
397       </TR>
398       <TR WIDTH="100%">
399         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readtokens">readtokens</A>
400         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read tokens from a stream.
401       </TR>
402       <TR WIDTH="100%">
403         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readtokens0">readtokens0</A>
404         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read NUL-separated tokens from a stream.
405       </TR>
406       <TR WIDTH="100%">
407         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strverscmp">strverscmp</A>
408         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compare strings holding version numbers.
409       </TR>
410     </TABLE>
411     <H2><A HREF="#isoc_sup">Support for systems lacking ISO C 99</A></H2>
412     <H3><A HREF="#isoc_sup_keywords">Keywords</A></H3>
413     <TABLE>
414       <TR WIDTH="100%">
415         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=restrict">restrict</A>
416         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">The C99 'restrict' keyword.
417       </TR>
418     </TABLE>
419     <H3><A HREF="#isoc_sup_limits">Sizes of integer types &lt;limits.h&gt;</A></H3>
420     <TABLE>
421       <TR WIDTH="100%">
422         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ullong_max">ullong_max</A>
423         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">The maximum unsigned long long value, as a preprocessor constant.
424       </TR>
425       <TR WIDTH="100%">
426         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=size_max">size_max</A>
427         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Provide SIZE_MAX.
428       </TR>
429     </TABLE>
430     <H3><A HREF="#isoc_sup_stdbool">Boolean type and values &lt;stdbool.h&gt;</A></H3>
431     <TABLE>
432       <TR WIDTH="100%">
433         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stdbool">stdbool</A>
434         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An &lt;stdbool.h&gt; that nearly conforms to C99.
435 (Nearly: casts to bool may not work.)
436       </TR>
437     </TABLE>
438     <H3><A HREF="#isoc_sup_stdint">Integer types and values &lt;stdint.h&gt;</A></H3>
439     <TABLE>
440       <TR WIDTH="100%">
441         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stdint">stdint</A>
442         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An &lt;stdint.h&gt; that nearly conforms to C99.
443 (Nearly: {uint,int}_{fast,least}{8,16,32,64}_t may not correspond
444 to the fastest and smallest types available on the system.)
445       </TR>
446     </TABLE>
447     <H3><A HREF="#isoc_sup_stdio">Input/output &lt;stdio.h&gt;</A></H3>
448     <TABLE>
449       <TR WIDTH="100%">
450         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=snprintf">snprintf</A>
451         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/snprintf.html">snprintf</A>() function: print formatted output to a fixed length string
452       </TR>
453       <TR WIDTH="100%">
454         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=vsnprintf">vsnprintf</A>
455         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/vsnprintf.html">vsnprintf</A>() function: print formatted output from an stdarg argument list
456 to a fixed length string
457       </TR>
458     </TABLE>
459     <H3><A HREF="#isoc_sup_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
460     <TABLE>
461       <TR WIDTH="100%">
462         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoll">strtoll</A>
463         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoll.html">strtoll</A>() function: convert string to 'long long'.
464       </TR>
465       <TR WIDTH="100%">
466         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoull">strtoull</A>
467         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoull.html">strtoull</A>() function: convert string to 'unsigned long long'.
468       </TR>
469     </TABLE>
470     <H3><A HREF="#isoc_sup_inttypes">Functions for greatest-width integer types &lt;inttypes.h&gt;</A></H3>
471     <TABLE>
472       <TR WIDTH="100%">
473         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoimax">strtoimax</A>
474         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoimax.html">strtoimax</A>() function: convert string to 'intmax_t'.
475       </TR>
476       <TR WIDTH="100%">
477         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtoumax">strtoumax</A>
478         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtoumax.html">strtoumax</A>() function: convert string to 'uintmax_t'.
479       </TR>
480     </TABLE>
481     <H3><A HREF="#isoc_sup_math">Mathematics &lt;math.h&gt;</A></H3>
482     <TABLE>
483       <TR WIDTH="100%">
484         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mathl">mathl</A>
485         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">C99 functions for transcendental functions with long double arguments.
486       </TR>
487     </TABLE>
488     <H2><A HREF="#isoc_enh">Enhancements for ISO C 99 functions</A></H2>
489     <TABLE>
490     </TABLE>
491     <H2><A HREF="#isoc_ext">Extra functions based on ISO C 99</A></H2>
492     <H3><A HREF="#isoc_ext_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
493     <TABLE>
494       <TR WIDTH="100%">
495         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=inttostr">inttostr</A>
496         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert integers to printable strings.
497       </TR>
498       <TR WIDTH="100%">
499         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrtoimax">xstrtoimax</A>
500         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to 'intmax_t', with error checking.
501       </TR>
502       <TR WIDTH="100%">
503         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xstrtoumax">xstrtoumax</A>
504         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert string to 'uintmax_t', with error checking.
505       </TR>
506     </TABLE>
507     <H3><A HREF="#isoc_ext_wchar_mb">Extended multibyte and wide character utilities &lt;wchar.h&gt;</A></H3>
508     <TABLE>
509       <TR WIDTH="100%">
510         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbchar">mbchar</A>
511         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Multibyte character data type.
512       </TR>
513       <TR WIDTH="100%">
514         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbiter">mbiter</A>
515         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Iterating through multibyte strings.
516       </TR>
517       <TR WIDTH="100%">
518         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbfile">mbfile</A>
519         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Multibyte character I/O.
520       </TR>
521     </TABLE>
522     <H2><A HREF="#posix_sup">Support for systems lacking POSIX:2001</A></H2>
523     <TABLE>
524       <TR WIDTH="100%">
525         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=chown">chown</A>
526         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/chown.html">chown</A>() function: change ownership of a file.
527       </TR>
528       <TR WIDTH="100%">
529         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=dup2">dup2</A>
530         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/dup2.html">dup2</A>() function: duplicate an open file descriptor.
531       </TR>
532       <TR WIDTH="100%">
533         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ftruncate">ftruncate</A>
534         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/ftruncate.html">ftruncate</A>() function: truncate an open file to a specified length.
535       </TR>
536       <TR WIDTH="100%">
537         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getaddrinfo">getaddrinfo</A>
538         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Get address information.
539       </TR>
540       <TR WIDTH="100%">
541         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getcwd">getcwd</A>
542         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the current working directory.
543       </TR>
544       <TR WIDTH="100%">
545         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getgroups">getgroups</A>
546         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/getgroups.html">getgroups</A>() function: return the supplementary group IDs of the current process.
547       </TR>
548       <TR WIDTH="100%">
549         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gethostname">gethostname</A>
550         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/gethostname.html">gethostname</A>() function: Return machine's hostname.
551       </TR>
552       <TR WIDTH="100%">
553         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getlogin_r">getlogin_r</A>
554         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/getlogin_r.html">getlogin_r</A>() function: Get user name to a buffer allocated by the caller.
555       </TR>
556       <TR WIDTH="100%">
557         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getsubopt">getsubopt</A>
558         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getsubopt: Parse comma separate list into words.
559       </TR>
560       <TR WIDTH="100%">
561         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gettimeofday">gettimeofday</A>
562         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/gettimeofday.html">gettimeofday</A>() function: return current time.
563       </TR>
564       <TR WIDTH="100%">
565         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=inet_ntop">inet_ntop</A>
566         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert internet address from internal to printable, presentable format.
567       </TR>
568       <TR WIDTH="100%">
569         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mkdir">mkdir</A>
570         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/mkdir.html">mkdir</A>() function: create a directory.
571       </TR>
572       <TR WIDTH="100%">
573         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mkstemp">mkstemp</A>
574         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/mkstemp.html">mkstemp</A>() function: create a private temporary file.
575       </TR>
576       <TR WIDTH="100%">
577         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mkdtemp">mkdtemp</A>
578         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">mkdtemp() function: create a private temporary directory.
579       </TR>
580       <TR WIDTH="100%">
581         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=poll">poll</A>
582         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/poll.html">poll</A>() function: wait for some event on a set of file descriptors.
583       </TR>
584       <TR WIDTH="100%">
585         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readlink">readlink</A>
586         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/readlink.html">readlink</A>() function: read the value of a symbolic link.
587       </TR>
588       <TR WIDTH="100%">
589         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=lstat">lstat</A>
590         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/lstat.html">lstat</A>() function: return information about a file or symbolic link.
591       </TR>
592       <TR WIDTH="100%">
593         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=time_r">time_r</A>
594         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Reentrant time functions like localtime_r.
595       </TR>
596       <TR WIDTH="100%">
597         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=timespec">timespec</A>
598         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">struct timespec.
599       </TR>
600       <TR WIDTH="100%">
601         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=nanosleep">nanosleep</A>
602         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/nanosleep.html">nanosleep</A>() function: pause execution for a specified time.
603       </TR>
604       <TR WIDTH="100%">
605         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=regex">regex</A>
606         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Regular expression matching.
607       </TR>
608       <TR WIDTH="100%">
609         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=rename">rename</A>
610         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/rename.html">rename</A>() function: change the name or location of a file.
611       </TR>
612       <TR WIDTH="100%">
613         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=rmdir">rmdir</A>
614         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/rmdir.html">rmdir</A>() function: delete a directory.
615       </TR>
616       <TR WIDTH="100%">
617         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ssize_t">ssize_t</A>
618         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Define ssize_t if it does not already exist.
619       </TR>
620       <TR WIDTH="100%">
621         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=strtok_r">strtok_r</A>
622         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/strtok_r.html">strtok_r</A>() function: split string into tokens, thread safe.
623       </TR>
624       <TR WIDTH="100%">
625         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utime">utime</A>
626         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/utime.html">utime</A>() function: change access and/or modification times of a file.
627       </TR>
628     </TABLE>
629     <H2><A HREF="#posix_enh">Enhancements for POSIX:2001 functions</A></H2>
630     <TABLE>
631       <TR WIDTH="100%">
632         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=dirname">dirname</A>
633         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Extract specific portions of filenames.
634       </TR>
635       <TR WIDTH="100%">
636         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getopt">getopt</A>
637         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Process command line arguments.
638       </TR>
639       <TR WIDTH="100%">
640         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unistd-safer">unistd-safer</A>
641         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">File descriptor functions that avoid clobbering STD{IN,OUT,ERR}_FILENO.
642       </TR>
643       <TR WIDTH="100%">
644         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fnmatch">fnmatch</A>
645         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">GNU <A HREF="http://www.opengroup.org/susv3xsh/fnmatch.html">fnmatch</A>() implementation.
646       </TR>
647       <TR WIDTH="100%">
648         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fnmatch-posix">fnmatch-posix</A>
649         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/fnmatch.html">fnmatch</A>() function: wildcard matching.
650       </TR>
651       <TR WIDTH="100%">
652         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fnmatch-gnu">fnmatch-gnu</A>
653         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/fnmatch.html">fnmatch</A>() function: wildcard matching, with GNU extensions.
654       </TR>
655       <TR WIDTH="100%">
656         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=glob">glob</A>
657         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/glob.html">glob</A>() function: Search for files and directories with paths matching a
658 pattern, with GNU extensions.
659       </TR>
660       <TR WIDTH="100%">
661         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=exclude">exclude</A>
662         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Manage list of filenames or wildcard patterns for --exclude option processing.
663       </TR>
664     </TABLE>
665     <H2><A HREF="#posix_ext">Extra functions based on POSIX:2001</A></H2>
666     <H3><A HREF="#posix_ext_conv">Numeric conversion functions</A></H3>
667     <TABLE>
668       <TR WIDTH="100%">
669         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=human">human</A>
670         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert a number (usually a file size) to a human readable string, possibly
671 with K/M/G suffix.
672       </TR>
673     </TABLE>
674     <H3><A HREF="#posix_ext_filesys">File system functions</A></H3>
675     <TABLE>
676       <TR WIDTH="100%">
677         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=acl">acl</A>
678         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Access control lists of files.  (Unportable.)
679       </TR>
680       <TR WIDTH="100%">
681         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=backupfile">backupfile</A>
682         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determination of the filename of a backup file, according to user environment
683 variables.
684       </TR>
685       <TR WIDTH="100%">
686         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=canonicalize">canonicalize</A>
687         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the canonical absolute name of a given file.
688       </TR>
689       <TR WIDTH="100%">
690         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=copy-file">copy-file</A>
691         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Copying of files.
692       </TR>
693       <TR WIDTH="100%">
694         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=cycle-check">cycle-check</A>
695         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">help detect directory cycles efficiently
696       </TR>
697       <TR WIDTH="100%">
698         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fsusage">fsusage</A>
699         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return filesystem space usage info.
700       </TR>
701       <TR WIDTH="100%">
702         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=dirfd">dirfd</A>
703         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Retrieving the file descriptor of an open directory stream.  (Unportable.)
704       </TR>
705       <TR WIDTH="100%">
706         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=euidaccess">euidaccess</A>
707         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">euidaccess() function: check effective user's permissions for a file.
708       </TR>
709       <TR WIDTH="100%">
710         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=file-type">file-type</A>
711         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return a string describing the type of a file.
712       </TR>
713       <TR WIDTH="100%">
714         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fileblocks">fileblocks</A>
715         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Estimate number of blocks of a file, if 'struct stat' doesn't have st_blocks.
716       </TR>
717       <TR WIDTH="100%">
718         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=filemode">filemode</A>
719         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return a string (?rwxrwxrwx format) describing the type and permissions of a
720 file.
721       </TR>
722       <TR WIDTH="100%">
723         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=filenamecat">filenamecat</A>
724         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Concatenate two arbitrary file names.
725       </TR>
726       <TR WIDTH="100%">
727         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fts">fts</A>
728         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Traverse a file hierarchy.
729       </TR>
730       <TR WIDTH="100%">
731         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fts-lgpl">fts-lgpl</A>
732         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Traverse a file hierarchy (LPGL'ed version).
733       </TR>
734       <TR WIDTH="100%">
735         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=isdir">isdir</A>
736         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine whether a directory exists.
737       </TR>
738       <TR WIDTH="100%">
739         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=lchown">lchown</A>
740         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%"><A HREF="http://www.opengroup.org/susv3xsh/lchown.html">lchown</A>() function: change ownership of a file.
741       </TR>
742       <TR WIDTH="100%">
743         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mkdir-p">mkdir-p</A>
744         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Ensure that a directory and its parents exist.
745       </TR>
746       <TR WIDTH="100%">
747         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=modechange">modechange</A>
748         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Manipulation of mode changes specified by strings (e.g. as first argument of
749 chmod utility).
750       </TR>
751       <TR WIDTH="100%">
752         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mountlist">mountlist</A>
753         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return list of mounted filesystems.
754       </TR>
755       <TR WIDTH="100%">
756         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=pathname">pathname</A>
757         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Construct a full pathname by concatenating a directory name, a relative
758 filename, and a suffix.
759       </TR>
760       <TR WIDTH="100%">
761         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=pathmax">pathmax</A>
762         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return maximum size of reasonable pathnames.  (Unportable: Hurd has no limit.)
763       </TR>
764       <TR WIDTH="100%">
765         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=same">same</A>
766         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine whether two pathnames refer to the same directory entry of the same
767 directory.
768       </TR>
769       <TR WIDTH="100%">
770         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=save-cwd">save-cwd</A>
771         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Save and restore the current working directory.
772       </TR>
773       <TR WIDTH="100%">
774         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=savedir">savedir</A>
775         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the entries of a directory (just the names) as an argz string.
776       </TR>
777       <TR WIDTH="100%">
778         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unlinkdir">unlinkdir</A>
779         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine (and maybe change) whether we can unlink directories.
780       </TR>
781       <TR WIDTH="100%">
782         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utimecmp">utimecmp</A>
783         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">compare file time stamps
784       </TR>
785       <TR WIDTH="100%">
786         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utimens">utimens</A>
787         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Set file access and modification times.
788       </TR>
789       <TR WIDTH="100%">
790         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xgetcwd">xgetcwd</A>
791         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the current working directory, without size limitations.
792       </TR>
793       <TR WIDTH="100%">
794         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xreadlink">xreadlink</A>
795         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the contents of a symbolic link, without size limitations.
796       </TR>
797     </TABLE>
798     <H3><A HREF="#posix_ext_filedesc">File descriptor based Input/Output</A></H3>
799     <TABLE>
800       <TR WIDTH="100%">
801         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=safe-read">safe-read</A>
802         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An interface to <A HREF="http://www.opengroup.org/susv3xsh/read.html">read</A>() that retries after interrupts.
803       </TR>
804       <TR WIDTH="100%">
805         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=safe-write">safe-write</A>
806         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An interface to <A HREF="http://www.opengroup.org/susv3xsh/write.html">write</A>() that retries after interrupts.
807       </TR>
808       <TR WIDTH="100%">
809         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=full-read">full-read</A>
810         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An interface to <A HREF="http://www.opengroup.org/susv3xsh/read.html">read</A>() that reads all it is asked to read.
811       </TR>
812       <TR WIDTH="100%">
813         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=full-write">full-write</A>
814         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">An interface to <A HREF="http://www.opengroup.org/susv3xsh/write.html">write</A>() that writes all it is asked to write.
815       </TR>
816       <TR WIDTH="100%">
817         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=binary-io">binary-io</A>
818         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Binary mode I/O.
819       </TR>
820     </TABLE>
821     <H3><A HREF="#posix_ext_filestream">File stream based Input/Output</A></H3>
822     <TABLE>
823       <TR WIDTH="100%">
824         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=fpending">fpending</A>
825         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine the number of bytes waiting in the output buffer of a stream.
826       </TR>
827       <TR WIDTH="100%">
828         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=closeout">closeout</A>
829         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Close stdout, checking for errors.
830       </TR>
831       <TR WIDTH="100%">
832         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=stdio-safer">stdio-safer</A>
833         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">File stream functions that avoid clobbering std{in,out,err}.
834       </TR>
835       <TR WIDTH="100%">
836         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getpass">getpass</A>
837         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getpass() function: read a password from /dev/tty.
838       </TR>
839       <TR WIDTH="100%">
840         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getpass-gnu">getpass-gnu</A>
841         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getpass() function: read a password of arbitrary length from /dev/tty.
842       </TR>
843     </TABLE>
844     <H3><A HREF="#posix_ext_uidgid">Users and groups</A></H3>
845     <TABLE>
846       <TR WIDTH="100%">
847         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getugroups">getugroups</A>
848         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the group IDs of a user.
849       </TR>
850       <TR WIDTH="100%">
851         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=group-member">group-member</A>
852         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine whether the current process has the permissions of a given group ID.
853       </TR>
854       <TR WIDTH="100%">
855         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=idcache">idcache</A>
856         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Username &lt;--&gt; uid and groupname &lt;--&gt; gid conversions, with cache for speed.
857       </TR>
858       <TR WIDTH="100%">
859         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=userspec">userspec</A>
860         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Parse a `user:group' specifier (e.g. the first argument of chown utility).
861       </TR>
862     </TABLE>
863     <H3><A HREF="#posix_ext_datetime">Date and time</A></H3>
864     <TABLE>
865       <TR WIDTH="100%">
866         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gettime">gettime</A>
867         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return current time, with nanosecond resolution.
868       </TR>
869       <TR WIDTH="100%">
870         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=settime">settime</A>
871         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Set the current time, with nanosecond resolution.
872       </TR>
873       <TR WIDTH="100%">
874         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=posixtm">posixtm</A>
875         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert a date/time string (POSIX syntax) to linear time or broken-down time.
876       </TR>
877       <TR WIDTH="100%">
878         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xnanosleep">xnanosleep</A>
879         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">a more convenient interface to nanosleep
880       </TR>
881     </TABLE>
882     <H3><A HREF="#posix_ext_net">Networking functions</A></H3>
883     <TABLE>
884       <TR WIDTH="100%">
885         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xgethostname">xgethostname</A>
886         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return machine's hostname, without size limitations.
887       </TR>
888       <TR WIDTH="100%">
889         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=canon-host">canon-host</A>
890         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Canonicalize a host name: return a name other hosts can understand, i.e.
891 usually the host name including FQDN.
892       </TR>
893     </TABLE>
894     <H3><A HREF="#posix_ext_thread">Multithreading</A></H3>
895     <TABLE>
896       <TR WIDTH="100%">
897         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=lock">lock</A>
898         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locking in multithreaded situations.
899       </TR>
900       <TR WIDTH="100%">
901         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=tls">tls</A>
902         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Thread-local storage in multithreaded situations.
903       </TR>
904     </TABLE>
905     <H3><A HREF="#posix_ext_i18n">Internationalization functions</A></H3>
906     <TABLE>
907       <TR WIDTH="100%">
908         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gettext">gettext</A>
909         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Translate messages to user's native language.
910       </TR>
911       <TR WIDTH="100%">
912         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=gettext-h">gettext-h</A>
913         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Translate messages to user's native language if the gettext module is also used.
914       </TR>
915       <TR WIDTH="100%">
916         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=iconv">iconv</A>
917         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Character set conversion.
918       </TR>
919       <TR WIDTH="100%">
920         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=iconvme">iconvme</A>
921         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Character set conversion of strings made easy, uses iconv.
922       </TR>
923       <TR WIDTH="100%">
924         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=localcharset">localcharset</A>
925         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return current locale's character encoding.
926       </TR>
927       <TR WIDTH="100%">
928         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=hard-locale">hard-locale</A>
929         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine whether the current locale is different from the "C" locale.
930       </TR>
931       <TR WIDTH="100%">
932         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=mbswidth">mbswidth</A>
933         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine the number of screen columns needed for a string.
934       </TR>
935       <TR WIDTH="100%">
936         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memcasecmp">memcasecmp</A>
937         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Case-insensitive memory area comparison.
938       </TR>
939       <TR WIDTH="100%">
940         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=memcoll">memcoll</A>
941         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locale dependent memory area comparison.
942       </TR>
943       <TR WIDTH="100%">
944         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xmemcoll">xmemcoll</A>
945         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locale dependent memory area comparison, with error checking.
946       </TR>
947       <TR WIDTH="100%">
948         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=unicodeio">unicodeio</A>
949         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Unicode character output to streams with locale dependent encoding.
950       </TR>
951       <TR WIDTH="100%">
952         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=rpmatch">rpmatch</A>
953         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locale dependent classification of a response as matching "yes" or "no".
954       </TR>
955       <TR WIDTH="100%">
956         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=yesno">yesno</A>
957         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read a response from the user, and its classification as matching "yes" or
958 "no".
959       </TR>
960       <TR WIDTH="100%">
961         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ucs4-utf8">ucs4-utf8</A>
962         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Conversion UCS-4 to UTF-8.
963       </TR>
964       <TR WIDTH="100%">
965         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=ucs4-utf16">ucs4-utf16</A>
966         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Conversion UCS-4 to UTF-16.
967       </TR>
968       <TR WIDTH="100%">
969         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utf8-ucs4">utf8-ucs4</A>
970         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Conversion UTF-8 to UCS-4.
971       </TR>
972       <TR WIDTH="100%">
973         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=utf16-ucs4">utf16-ucs4</A>
974         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Conversion UTF-16 to UCS-4.
975       </TR>
976       <TR WIDTH="100%">
977         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=linebreak">linebreak</A>
978         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Line breaking (works also for East-Asian languages).
979       </TR>
980     </TABLE>
981     <H3><A HREF="#posix_ext_exec">Executing programs</A></H3>
982     <TABLE>
983       <TR WIDTH="100%">
984         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=cloexec">cloexec</A>
985         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Set or clear the close-on-exec descriptor flag.
986       </TR>
987       <TR WIDTH="100%">
988         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=findprog">findprog</A>
989         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Locating a program in PATH.
990       </TR>
991       <TR WIDTH="100%">
992         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=wait-process">wait-process</A>
993         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Waiting for a subprocess to finish.
994       </TR>
995       <TR WIDTH="100%">
996         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=execute">execute</A>
997         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Creation of autonomous subprocesses.
998       </TR>
999       <TR WIDTH="100%">
1000         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=pipe">pipe</A>
1001         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Creation of subprocesses, communicating via pipes.
1002       </TR>
1003       <TR WIDTH="100%">
1004         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=sh-quote">sh-quote</A>
1005         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Shell quoting.
1006       </TR>
1007     </TABLE>
1008     <H3><A HREF="#posix_ext_java">Java</A></H3>
1009     <TABLE>
1010       <TR WIDTH="100%">
1011         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=classpath">classpath</A>
1012         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Java CLASSPATH handling.
1013       </TR>
1014       <TR WIDTH="100%">
1015         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=javacomp">javacomp</A>
1016         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compile a Java program.
1017       </TR>
1018       <TR WIDTH="100%">
1019         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=javaexec">javaexec</A>
1020         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Execute a Java program.
1021       </TR>
1022     </TABLE>
1023     <H3><A HREF="#posix_ext_csharp">C#</A></H3>
1024     <TABLE>
1025       <TR WIDTH="100%">
1026         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=csharpcomp">csharpcomp</A>
1027         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Compile a C# program.
1028       </TR>
1029       <TR WIDTH="100%">
1030         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=csharpexec">csharpexec</A>
1031         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Execute a C# program.
1032       </TR>
1033     </TABLE>
1034     <H3><A HREF="#posix_ext_misc">Misc</A></H3>
1035     <TABLE>
1036       <TR WIDTH="100%">
1037         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=argp">argp</A>
1038         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Hierarchical processing of command line arguments.
1039       </TR>
1040       <TR WIDTH="100%">
1041         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=argz">argz</A>
1042         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Argv style string arrays in a single null delimited char*.
1043       </TR>
1044       <TR WIDTH="100%">
1045         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=byteswap">byteswap</A>
1046         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Swap bytes of 16, 32 and 64 bit values.
1047       </TR>
1048       <TR WIDTH="100%">
1049         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=exitfail">exitfail</A>
1050         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Set exit status for fatal signal.
1051       </TR>
1052       <TR WIDTH="100%">
1053         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=c-stack">c-stack</A>
1054         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Stack overflow handling, causing program exit.
1055       </TR>
1056       <TR WIDTH="100%">
1057         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=error">error</A>
1058         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">error() and error_at_line() functions: Error reporting.
1059       </TR>
1060       <TR WIDTH="100%">
1061         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=extensions">extensions</A>
1062         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Enable extensions in standard headers
1063       </TR>
1064       <TR WIDTH="100%">
1065         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getdomainname">getdomainname</A>
1066         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getdomainname() function: Return machine's NIS domain name.
1067       </TR>
1068       <TR WIDTH="100%">
1069         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=xgetdomainname">xgetdomainname</A>
1070         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return machine's domainname, without size limitations.
1071       </TR>
1072       <TR WIDTH="100%">
1073         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getloadavg">getloadavg</A>
1074         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return the current system load averages.
1075       </TR>
1076       <TR WIDTH="100%">
1077         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getpagesize">getpagesize</A>
1078         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">getpagesize() function: Return memory page size.
1079       </TR>
1080       <TR WIDTH="100%">
1081         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=getusershell">getusershell</A>
1082         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return names of valid user shells.
1083       </TR>
1084       <TR WIDTH="100%">
1085         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=physmem">physmem</A>
1086         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Return amount of total/available physical memory.
1087       </TR>
1088       <TR WIDTH="100%">
1089         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=posixver">posixver</A>
1090         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Determine desired POSIX specification version, according to user's environment
1091 variables.
1092       </TR>
1093       <TR WIDTH="100%">
1094         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=progname">progname</A>
1095         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Program name management.
1096       </TR>
1097       <TR WIDTH="100%">
1098         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=quotearg">quotearg</A>
1099         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Quote arguments for use in error messages.
1100       </TR>
1101       <TR WIDTH="100%">
1102         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=quote">quote</A>
1103         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Quote arguments for use in error messages.
1104       </TR>
1105       <TR WIDTH="100%">
1106         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=readutmp">readutmp</A>
1107         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Read entire utmp file into memory.
1108       </TR>
1109       <TR WIDTH="100%">
1110         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=sig2str">sig2str</A>
1111         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Convert between signal names and signal numbers.
1112       </TR>
1113       <TR WIDTH="100%">
1114         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=sysexits">sysexits</A>
1115         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Exit status codes for some BSD system programs.
1116       </TR>
1117       <TR WIDTH="100%">
1118         <TD ALIGN=LEFT VALIGN=TOP WIDTH="20%"><A HREF="#module=visibility">visibility</A>
1119         <TD ALIGN=LEFT VALIGN=TOP WIDTH="80%">Control of symbols exported by shared libraries.
1120       </TR>
1121     </TABLE>
1122     <H2><A NAME="ansic_sup">Support for systems lacking ANSI C 89</A></H2>
1123     <TABLE>
1124       <TR>
1125         <TH ALIGN=LEFT>modules/
1126         <TH ALIGN=LEFT>lib/
1127         <TH ALIGN=LEFT>lib/
1128         <TH ALIGN=LEFT>m4/
1129         <TH ALIGN=LEFT>&nbsp;
1130       </TR>
1131       <TR>
1132         <TH ALIGN=LEFT>Module
1133         <TH ALIGN=LEFT>Header
1134         <TH ALIGN=LEFT>Implementation
1135         <TH ALIGN=LEFT>Autoconf macro
1136         <TH ALIGN=LEFT>Depends on
1137       </TR>
1138       <TR>
1139         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-bs-a"></A><A HREF="modules/c-bs-a">c-bs-a</A>
1140         <TD ALIGN=LEFT VALIGN=TOP>---
1141         <TD ALIGN=LEFT VALIGN=TOP>---
1142         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/c-bs-a.m4">c-bs-a.m4</A><BR>AC_C_BACKSLASH_A
1143         <TD ALIGN=LEFT VALIGN=TOP>---
1144       </TR>
1145       <TR>
1146         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=assert"></A><A HREF="modules/assert">assert</A>
1147         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/assert.h.html">assert.h</A>&gt;
1148         <TD ALIGN=LEFT VALIGN=TOP>---
1149         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/assert.m4">assert.m4</A><BR>gl_ASSERT
1150         <TD ALIGN=LEFT VALIGN=TOP>---
1151       </TR>
1152       <TR>
1153         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=dummy"></A><A HREF="modules/dummy">dummy</A>
1154         <TD ALIGN=LEFT VALIGN=TOP>---
1155         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dummy.c">dummy.c</A>
1156         <TD ALIGN=LEFT VALIGN=TOP>---
1157         <TD ALIGN=LEFT VALIGN=TOP>---
1158       </TR>
1159       <TR>
1160         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=exit"></A><A HREF="modules/exit">exit</A>
1161         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/exit.h">exit.h</A>"
1162         <TD ALIGN=LEFT VALIGN=TOP>---
1163         <TD ALIGN=LEFT VALIGN=TOP>---
1164         <TD ALIGN=LEFT VALIGN=TOP>---
1165       </TR>
1166       <TR>
1167         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=atexit"></A><A HREF="modules/atexit">atexit</A>
1168         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1169         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/atexit.c">atexit.c</A>
1170         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/atexit.m4">atexit.m4</A><BR>gl_FUNC_ATEXIT
1171         <TD ALIGN=LEFT VALIGN=TOP>---
1172       </TR>
1173       <TR>
1174         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtod"></A><A HREF="modules/strtod">strtod</A>
1175         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1176         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtod.c">strtod.c</A>
1177         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strtod.m4">strtod.m4</A><BR>gl_FUNC_STRTOD
1178         <TD ALIGN=LEFT VALIGN=TOP>---
1179       </TR>
1180       <TR>
1181         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtol"></A><A HREF="modules/strtol">strtol</A>
1182         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1183         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtol.c">strtol.c</A>
1184         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strtol.m4">strtol.m4</A><BR>gl_FUNC_STRTOL
1185         <TD ALIGN=LEFT VALIGN=TOP>---
1186       </TR>
1187       <TR>
1188         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoul"></A><A HREF="modules/strtoul">strtoul</A>
1189         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1190         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoul.c">strtoul.c</A>
1191         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strtoul.m4">strtoul.m4</A><BR>gl_FUNC_STRTOUL
1192         <TD ALIGN=LEFT VALIGN=TOP>strtol
1193       </TR>
1194       <TR>
1195         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memchr"></A><A HREF="modules/memchr">memchr</A>
1196         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1197         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memchr.c">memchr.c</A>
1198         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memchr.m4">memchr.m4</A><BR>gl_FUNC_MEMCHR
1199         <TD ALIGN=LEFT VALIGN=TOP>---
1200       </TR>
1201       <TR>
1202         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memcmp"></A><A HREF="modules/memcmp">memcmp</A>
1203         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1204         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memcmp.c">memcmp.c</A>
1205         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memcmp.m4">memcmp.m4</A><BR>gl_FUNC_MEMCMP
1206         <TD ALIGN=LEFT VALIGN=TOP>---
1207       </TR>
1208       <TR>
1209         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memcpy"></A><A HREF="modules/memcpy">memcpy</A>
1210         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1211         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memcpy.c">memcpy.c</A>
1212         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memcpy.m4">memcpy.m4</A><BR>gl_FUNC_MEMCPY
1213         <TD ALIGN=LEFT VALIGN=TOP>---
1214       </TR>
1215       <TR>
1216         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memmove"></A><A HREF="modules/memmove">memmove</A>
1217         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1218         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memmove.c">memmove.c</A>
1219         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memmove.m4">memmove.m4</A><BR>gl_FUNC_MEMMOVE
1220         <TD ALIGN=LEFT VALIGN=TOP>---
1221       </TR>
1222       <TR>
1223         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memset"></A><A HREF="modules/memset">memset</A>
1224         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1225         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memset.c">memset.c</A>
1226         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memset.m4">memset.m4</A><BR>gl_FUNC_MEMSET
1227         <TD ALIGN=LEFT VALIGN=TOP>---
1228       </TR>
1229       <TR>
1230         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strcspn"></A><A HREF="modules/strcspn">strcspn</A>
1231         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1232         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strcspn.c">strcspn.c</A>
1233         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strcspn.m4">strcspn.m4</A><BR>gl_FUNC_STRCSPN
1234         <TD ALIGN=LEFT VALIGN=TOP>---
1235       </TR>
1236       <TR>
1237         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strpbrk"></A><A HREF="modules/strpbrk">strpbrk</A>
1238         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strpbrk.h">strpbrk.h</A>"
1239         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strpbrk.c">strpbrk.c</A>
1240         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strpbrk.m4">strpbrk.m4</A><BR>gl_FUNC_STRPBRK
1241         <TD ALIGN=LEFT VALIGN=TOP>---
1242       </TR>
1243       <TR>
1244         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strstr"></A><A HREF="modules/strstr">strstr</A>
1245         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strstr.h">strstr.h</A>"
1246         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strstr.c">strstr.c</A>
1247         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strstr.m4">strstr.m4</A><BR>gl_FUNC_STRSTR
1248         <TD ALIGN=LEFT VALIGN=TOP>---
1249       </TR>
1250       <TR>
1251         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strerror"></A><A HREF="modules/strerror">strerror</A>
1252         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/string.h.html">string.h</A>&gt;
1253         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strerror.c">strerror.c</A>
1254         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strerror.m4">strerror.m4</A><BR>gl_FUNC_STRERROR
1255         <TD ALIGN=LEFT VALIGN=TOP>---
1256       </TR>
1257       <TR>
1258         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mktime"></A><A HREF="modules/mktime">mktime</A>
1259         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/time.h.html">time.h</A>&gt;
1260         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mktime.c">mktime.c</A>
1261         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mktime.m4">mktime.m4</A><BR>gl_FUNC_MKTIME
1262         <TD ALIGN=LEFT VALIGN=TOP>time_r
1263       </TR>
1264     </TABLE>
1265     Most of these modules are not listed among dependencies below, for simplicity.
1266     <H2><A NAME="ansic_enh">Enhancements for ANSI C 89 functions</A></H2>
1267     <H3><A NAME="ansic_enh_stdlib_memory">Memory management functions &lt;stdlib.h&gt;</A></H3>
1268     <TABLE>
1269       <TR>
1270         <TH ALIGN=LEFT>modules/
1271         <TH ALIGN=LEFT>lib/
1272         <TH ALIGN=LEFT>lib/
1273         <TH ALIGN=LEFT>m4/
1274         <TH ALIGN=LEFT>&nbsp;
1275       </TR>
1276       <TR>
1277         <TH ALIGN=LEFT>Module
1278         <TH ALIGN=LEFT>Header
1279         <TH ALIGN=LEFT>Implementation
1280         <TH ALIGN=LEFT>Autoconf macro
1281         <TH ALIGN=LEFT>Depends on
1282       </TR>
1283       <TR>
1284         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=calloc"></A><A HREF="modules/calloc">calloc</A>
1285         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1286         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/calloc.c">calloc.c</A>
1287         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/calloc.m4">calloc.m4</A><BR>AC_FUNC_CALLOC
1288         <TD ALIGN=LEFT VALIGN=TOP>---
1289       </TR>
1290       <TR>
1291         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=eealloc"></A><A HREF="modules/eealloc">eealloc</A>
1292         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;eealloc.h&gt;
1293         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/eealloc.h">eealloc.h</A>
1294         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/eealloc.m4">eealloc.m4</A><BR>gl_EEALLOC
1295         <TD ALIGN=LEFT VALIGN=TOP>---
1296       </TR>
1297       <TR>
1298         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=free"></A><A HREF="modules/free">free</A>
1299         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1300         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/free.c">free.c</A>
1301         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/free.m4">free.m4</A><BR>gl_FUNC_FREE
1302         <TD ALIGN=LEFT VALIGN=TOP>---
1303       </TR>
1304       <TR>
1305         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=malloc"></A><A HREF="modules/malloc">malloc</A>
1306         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1307         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/malloc.c">malloc.c</A>
1308         <TD ALIGN=LEFT VALIGN=TOP>AC_FUNC_MALLOC
1309         <TD ALIGN=LEFT VALIGN=TOP>---
1310       </TR>
1311       <TR>
1312         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=realloc"></A><A HREF="modules/realloc">realloc</A>
1313         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
1314         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/realloc.c">realloc.c</A>
1315         <TD ALIGN=LEFT VALIGN=TOP>AC_FUNC_REALLOC
1316         <TD ALIGN=LEFT VALIGN=TOP>---
1317       </TR>
1318       <TR>
1319         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=pagealign_alloc"></A><A HREF="modules/pagealign_alloc">pagealign_alloc</A>
1320         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/pagealign_alloc.h">pagealign_alloc.h</A>"
1321         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/pagealign_alloc.c">pagealign_alloc.c</A>
1322         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mmap-anon.m4">mmap-anon.m4</A><BR><A HREF="m4/pagealign_alloc.m4">pagealign_alloc.m4</A><BR>gl_PAGEALIGN_ALLOC
1323         <TD ALIGN=LEFT VALIGN=TOP>error<BR>exit<BR>getpagesize<BR>gettext-h<BR>xalloc
1324       </TR>
1325     </TABLE>
1326     <H3><A NAME="ansic_enh_time_datetime">Date and time &lt;time.h&gt;</A></H3>
1327     <TABLE>
1328       <TR>
1329         <TH ALIGN=LEFT>modules/
1330         <TH ALIGN=LEFT>lib/
1331         <TH ALIGN=LEFT>lib/
1332         <TH ALIGN=LEFT>m4/
1333         <TH ALIGN=LEFT>&nbsp;
1334       </TR>
1335       <TR>
1336         <TH ALIGN=LEFT>Module
1337         <TH ALIGN=LEFT>Header
1338         <TH ALIGN=LEFT>Implementation
1339         <TH ALIGN=LEFT>Autoconf macro
1340         <TH ALIGN=LEFT>Depends on
1341       </TR>
1342       <TR>
1343         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strftime"></A><A HREF="modules/strftime">strftime</A>
1344         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strftime.h">strftime.h</A>"
1345         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strftime.c">strftime.c</A>
1346         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbstate_t.m4">mbstate_t.m4</A><BR><A HREF="m4/tm_gmtoff.m4">tm_gmtoff.m4</A><BR><A HREF="m4/strftime.m4">strftime.m4</A><BR>gl_FUNC_GNU_STRFTIME
1347         <TD ALIGN=LEFT VALIGN=TOP>time_r<BR>stdbool
1348       </TR>
1349     </TABLE>
1350     <H2><A NAME="ansic_ext">Extra functions based on ANSI C 89</A></H2>
1351     <H3><A NAME="ansic_ext_stdlib_memory">Memory management functions &lt;stdlib.h&gt;</A></H3>
1352     <TABLE>
1353       <TR>
1354         <TH ALIGN=LEFT>modules/
1355         <TH ALIGN=LEFT>lib/
1356         <TH ALIGN=LEFT>lib/
1357         <TH ALIGN=LEFT>m4/
1358         <TH ALIGN=LEFT>&nbsp;
1359       </TR>
1360       <TR>
1361         <TH ALIGN=LEFT>Module
1362         <TH ALIGN=LEFT>Header
1363         <TH ALIGN=LEFT>Implementation
1364         <TH ALIGN=LEFT>Autoconf macro
1365         <TH ALIGN=LEFT>Depends on
1366       </TR>
1367       <TR>
1368         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xsize"></A><A HREF="modules/xsize">xsize</A>
1369         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xsize.h">xsize.h</A>"
1370         <TD ALIGN=LEFT VALIGN=TOP>---
1371         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xsize.m4">xsize.m4</A><BR>gl_XSIZE
1372         <TD ALIGN=LEFT VALIGN=TOP>size_max
1373       </TR>
1374       <TR>
1375         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xalloc"></A><A HREF="modules/xalloc">xalloc</A>
1376         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xalloc.h">xalloc.h</A>"
1377         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xmalloc.c">xmalloc.c</A>
1378         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xalloc.m4">xalloc.m4</A><BR>gl_XALLOC
1379         <TD ALIGN=LEFT VALIGN=TOP>xalloc-die
1380       </TR>
1381       <TR>
1382         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xalloc-die"></A><A HREF="modules/xalloc-die">xalloc-die</A>
1383         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xalloc.h">xalloc.h</A>"
1384         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xalloc-die.c">xalloc-die.c</A>
1385         <TD ALIGN=LEFT VALIGN=TOP>---
1386         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>error<BR>gettext-h<BR>exitfail
1387       </TR>
1388       <TR>
1389         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=alloca"></A><A HREF="modules/alloca">alloca</A>
1390         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;alloca.h&gt;
1391         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/alloca.c">alloca.c</A>
1392         <TD ALIGN=LEFT VALIGN=TOP>---
1393         <TD ALIGN=LEFT VALIGN=TOP>alloca-opt
1394       </TR>
1395       <TR>
1396         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=alloca-opt"></A><A HREF="modules/alloca-opt">alloca-opt</A>
1397         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;alloca.h&gt;
1398         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/alloca_.h">alloca_.h</A>
1399         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/alloca.m4">alloca.m4</A><BR>gl_FUNC_ALLOCA
1400         <TD ALIGN=LEFT VALIGN=TOP>---
1401       </TR>
1402       <TR>
1403         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=allocsa"></A><A HREF="modules/allocsa">allocsa</A>
1404         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/allocsa.h">allocsa.h</A>"
1405         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/allocsa.c">allocsa.c</A><BR><A HREF="lib/allocsa.valgrind">allocsa.valgrind</A>
1406         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/allocsa.m4">allocsa.m4</A><BR><A HREF="m4/eealloc.m4">eealloc.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/longdouble.m4">longdouble.m4</A><BR>gl_ALLOCSA
1407         <TD ALIGN=LEFT VALIGN=TOP>alloca-opt
1408       </TR>
1409       <TR>
1410         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xallocsa"></A><A HREF="modules/xallocsa">xallocsa</A>
1411         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xallocsa.h">xallocsa.h</A>"
1412         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xallocsa.c">xallocsa.c</A>
1413         <TD ALIGN=LEFT VALIGN=TOP>---
1414         <TD ALIGN=LEFT VALIGN=TOP>allocsa<BR>xalloc
1415       </TR>
1416     </TABLE>
1417     <H3><A NAME="ansic_ext_stdlib_arith">Integer arithmetic functions &lt;stdlib.h&gt;</A></H3>
1418     <TABLE>
1419       <TR>
1420         <TH ALIGN=LEFT>modules/
1421         <TH ALIGN=LEFT>lib/
1422         <TH ALIGN=LEFT>lib/
1423         <TH ALIGN=LEFT>m4/
1424         <TH ALIGN=LEFT>&nbsp;
1425       </TR>
1426       <TR>
1427         <TH ALIGN=LEFT>Module
1428         <TH ALIGN=LEFT>Header
1429         <TH ALIGN=LEFT>Implementation
1430         <TH ALIGN=LEFT>Autoconf macro
1431         <TH ALIGN=LEFT>Depends on
1432       </TR>
1433       <TR>
1434         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gcd"></A><A HREF="modules/gcd">gcd</A>
1435         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gcd.h">gcd.h</A>"
1436         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gcd.c">gcd.c</A>
1437         <TD ALIGN=LEFT VALIGN=TOP>---
1438         <TD ALIGN=LEFT VALIGN=TOP>---
1439       </TR>
1440       <TR>
1441         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=minmax"></A><A HREF="modules/minmax">minmax</A>
1442         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/minmax.h">minmax.h</A>"
1443         <TD ALIGN=LEFT VALIGN=TOP>---
1444         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/minmax.m4">minmax.m4</A><BR>gl_MINMAX
1445         <TD ALIGN=LEFT VALIGN=TOP>---
1446       </TR>
1447     </TABLE>
1448     <H3><A NAME="ansic_ext_stdlib_env">Environment variables &lt;stdlib.h&gt;</A></H3>
1449     <TABLE>
1450       <TR>
1451         <TH ALIGN=LEFT>modules/
1452         <TH ALIGN=LEFT>lib/
1453         <TH ALIGN=LEFT>lib/
1454         <TH ALIGN=LEFT>m4/
1455         <TH ALIGN=LEFT>&nbsp;
1456       </TR>
1457       <TR>
1458         <TH ALIGN=LEFT>Module
1459         <TH ALIGN=LEFT>Header
1460         <TH ALIGN=LEFT>Implementation
1461         <TH ALIGN=LEFT>Autoconf macro
1462         <TH ALIGN=LEFT>Depends on
1463       </TR>
1464       <TR>
1465         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=putenv"></A><A HREF="modules/putenv">putenv</A>
1466         <TD ALIGN=LEFT VALIGN=TOP>---
1467         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/putenv.c">putenv.c</A>
1468         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/putenv.m4">putenv.m4</A><BR>gl_FUNC_PUTENV
1469         <TD ALIGN=LEFT VALIGN=TOP>---
1470       </TR>
1471       <TR>
1472         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=setenv"></A><A HREF="modules/setenv">setenv</A>
1473         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/setenv.h">setenv.h</A>"
1474         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/setenv.c">setenv.c</A><BR><A HREF="lib/unsetenv.c">unsetenv.c</A>
1475         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/setenv.m4">setenv.m4</A><BR>gt_FUNC_SETENV
1476         <TD ALIGN=LEFT VALIGN=TOP>allocsa<BR>alloca-opt
1477       </TR>
1478       <TR>
1479         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xsetenv"></A><A HREF="modules/xsetenv">xsetenv</A>
1480         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xsetenv.h">xsetenv.h</A>"
1481         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xsetenv.c">xsetenv.c</A>
1482         <TD ALIGN=LEFT VALIGN=TOP>---
1483         <TD ALIGN=LEFT VALIGN=TOP>setenv<BR>error<BR>exit<BR>gettext-h
1484       </TR>
1485     </TABLE>
1486     <H3><A NAME="ansic_ext_ctype">Character handling &lt;ctype.h&gt;</A></H3>
1487     <TABLE>
1488       <TR>
1489         <TH ALIGN=LEFT>modules/
1490         <TH ALIGN=LEFT>lib/
1491         <TH ALIGN=LEFT>lib/
1492         <TH ALIGN=LEFT>m4/
1493         <TH ALIGN=LEFT>&nbsp;
1494       </TR>
1495       <TR>
1496         <TH ALIGN=LEFT>Module
1497         <TH ALIGN=LEFT>Header
1498         <TH ALIGN=LEFT>Implementation
1499         <TH ALIGN=LEFT>Autoconf macro
1500         <TH ALIGN=LEFT>Depends on
1501       </TR>
1502       <TR>
1503         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-ctype"></A><A HREF="modules/c-ctype">c-ctype</A>
1504         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-ctype.h">c-ctype.h</A>"
1505         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-ctype.c">c-ctype.c</A>
1506         <TD ALIGN=LEFT VALIGN=TOP>---
1507         <TD ALIGN=LEFT VALIGN=TOP>stdbool
1508       </TR>
1509     </TABLE>
1510     <H3><A NAME="ansic_ext_string">String handling &lt;string.h&gt;</A></H3>
1511     <TABLE>
1512       <TR>
1513         <TH ALIGN=LEFT>modules/
1514         <TH ALIGN=LEFT>lib/
1515         <TH ALIGN=LEFT>lib/
1516         <TH ALIGN=LEFT>m4/
1517         <TH ALIGN=LEFT>&nbsp;
1518       </TR>
1519       <TR>
1520         <TH ALIGN=LEFT>Module
1521         <TH ALIGN=LEFT>Header
1522         <TH ALIGN=LEFT>Implementation
1523         <TH ALIGN=LEFT>Autoconf macro
1524         <TH ALIGN=LEFT>Depends on
1525       </TR>
1526       <TR>
1527         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=bcopy"></A><A HREF="modules/bcopy">bcopy</A>
1528         <TD ALIGN=LEFT VALIGN=TOP>---
1529         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/bcopy.c">bcopy.c</A>
1530         <TD ALIGN=LEFT VALIGN=TOP>AC_REPLACE_FUNCS(bcopy)
1531         <TD ALIGN=LEFT VALIGN=TOP>---
1532       </TR>
1533       <TR>
1534         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memmem"></A><A HREF="modules/memmem">memmem</A>
1535         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memmem.h">memmem.h</A>"
1536         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memmem.c">memmem.c</A>
1537         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memmem.m4">memmem.m4</A><BR>gl_FUNC_MEMMEM
1538         <TD ALIGN=LEFT VALIGN=TOP>---
1539       </TR>
1540       <TR>
1541         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mempcpy"></A><A HREF="modules/mempcpy">mempcpy</A>
1542         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mempcpy.h">mempcpy.h</A>"
1543         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mempcpy.c">mempcpy.c</A>
1544         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mempcpy.m4">mempcpy.m4</A><BR>gl_FUNC_MEMPCPY
1545         <TD ALIGN=LEFT VALIGN=TOP>---
1546       </TR>
1547       <TR>
1548         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memrchr"></A><A HREF="modules/memrchr">memrchr</A>
1549         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memrchr.h">memrchr.h</A>"
1550         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memrchr.c">memrchr.c</A>
1551         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memrchr.m4">memrchr.m4</A><BR>gl_FUNC_MEMRCHR
1552         <TD ALIGN=LEFT VALIGN=TOP>---
1553       </TR>
1554       <TR>
1555         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stpcpy"></A><A HREF="modules/stpcpy">stpcpy</A>
1556         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stpcpy.h">stpcpy.h</A>"
1557         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stpcpy.c">stpcpy.c</A>
1558         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stpcpy.m4">stpcpy.m4</A><BR>gl_FUNC_STPCPY
1559         <TD ALIGN=LEFT VALIGN=TOP>---
1560       </TR>
1561       <TR>
1562         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stpncpy"></A><A HREF="modules/stpncpy">stpncpy</A>
1563         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stpncpy.h">stpncpy.h</A>"
1564         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stpncpy.c">stpncpy.c</A>
1565         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stpncpy.m4">stpncpy.m4</A><BR>gl_FUNC_STPNCPY
1566         <TD ALIGN=LEFT VALIGN=TOP>---
1567       </TR>
1568       <TR>
1569         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strcase"></A><A HREF="modules/strcase">strcase</A>
1570         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strcase.h">strcase.h</A>"
1571         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strcasecmp.c">strcasecmp.c</A><BR><A HREF="lib/strncasecmp.c">strncasecmp.c</A>
1572         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strcase.m4">strcase.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR>gl_STRCASE
1573         <TD ALIGN=LEFT VALIGN=TOP>strnlen1<BR>mbchar
1574       </TR>
1575       <TR>
1576         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strchrnul"></A><A HREF="modules/strchrnul">strchrnul</A>
1577         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strchrnul.h">strchrnul.h</A>"
1578         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strchrnul.c">strchrnul.c</A>
1579         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strchrnul.m4">strchrnul.m4</A><BR>gl_FUNC_STRCHRNUL
1580         <TD ALIGN=LEFT VALIGN=TOP>---
1581       </TR>
1582       <TR>
1583         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strdup"></A><A HREF="modules/strdup">strdup</A>
1584         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strdup.h">strdup.h</A>"
1585         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strdup.c">strdup.c</A>
1586         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strdup.m4">strdup.m4</A><BR>gl_FUNC_STRDUP
1587         <TD ALIGN=LEFT VALIGN=TOP>---
1588       </TR>
1589       <TR>
1590         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strnlen"></A><A HREF="modules/strnlen">strnlen</A>
1591         <TD ALIGN=LEFT VALIGN=TOP>---
1592         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strnlen.h">strnlen.h</A><BR><A HREF="lib/strnlen.c">strnlen.c</A>
1593         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strnlen.m4">strnlen.m4</A><BR>gl_FUNC_STRNLEN
1594         <TD ALIGN=LEFT VALIGN=TOP>---
1595       </TR>
1596       <TR>
1597         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strnlen1"></A><A HREF="modules/strnlen1">strnlen1</A>
1598         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strnlen1.h">strnlen1.h</A>"
1599         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strnlen1.c">strnlen1.c</A>
1600         <TD ALIGN=LEFT VALIGN=TOP>---
1601         <TD ALIGN=LEFT VALIGN=TOP>memchr
1602       </TR>
1603       <TR>
1604         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strndup"></A><A HREF="modules/strndup">strndup</A>
1605         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strndup.h">strndup.h</A>"
1606         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strndup.c">strndup.c</A>
1607         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strndup.m4">strndup.m4</A><BR>gl_FUNC_STRNDUP
1608         <TD ALIGN=LEFT VALIGN=TOP>strnlen
1609       </TR>
1610       <TR>
1611         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strsep"></A><A HREF="modules/strsep">strsep</A>
1612         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strsep.h">strsep.h</A>"
1613         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strsep.c">strsep.c</A>
1614         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strsep.m4">strsep.m4</A><BR>gl_FUNC_STRSEP
1615         <TD ALIGN=LEFT VALIGN=TOP>strpbrk
1616       </TR>
1617       <TR>
1618         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrndup"></A><A HREF="modules/xstrndup">xstrndup</A>
1619         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrndup.h">xstrndup.h</A>"
1620         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrndup.c">xstrndup.c</A>
1621         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xstrndup.m4">xstrndup.m4</A><BR>gl_XSTRNDUP
1622         <TD ALIGN=LEFT VALIGN=TOP>strndup<BR>xalloc
1623       </TR>
1624     </TABLE>
1625     <H3><A NAME="ansic_ext_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
1626     <TABLE>
1627       <TR>
1628         <TH ALIGN=LEFT>modules/
1629         <TH ALIGN=LEFT>lib/
1630         <TH ALIGN=LEFT>lib/
1631         <TH ALIGN=LEFT>m4/
1632         <TH ALIGN=LEFT>&nbsp;
1633       </TR>
1634       <TR>
1635         <TH ALIGN=LEFT>Module
1636         <TH ALIGN=LEFT>Header
1637         <TH ALIGN=LEFT>Implementation
1638         <TH ALIGN=LEFT>Autoconf macro
1639         <TH ALIGN=LEFT>Depends on
1640       </TR>
1641       <TR>
1642         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-strtod"></A><A HREF="modules/c-strtod">c-strtod</A>
1643         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-strtod.h">c-strtod.h</A>"
1644         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-strtod.c">c-strtod.c</A>
1645         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/c-strtod.m4">c-strtod.m4</A><BR>gl_C_STRTOD
1646         <TD ALIGN=LEFT VALIGN=TOP>extensions<BR>xalloc
1647       </TR>
1648       <TR>
1649         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-strtold"></A><A HREF="modules/c-strtold">c-strtold</A>
1650         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-strtod.h">c-strtod.h</A>"
1651         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-strtod.c">c-strtod.c</A><BR><A HREF="lib/c-strtold.c">c-strtold.c</A>
1652         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/c-strtod.m4">c-strtod.m4</A><BR>gl_C_STRTOLD
1653         <TD ALIGN=LEFT VALIGN=TOP>extensions<BR>xalloc
1654       </TR>
1655       <TR>
1656         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrtod"></A><A HREF="modules/xstrtod">xstrtod</A>
1657         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrtod.h">xstrtod.h</A>"
1658         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrtod.c">xstrtod.c</A>
1659         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xstrtod.m4">xstrtod.m4</A><BR>gl_XSTRTOD
1660         <TD ALIGN=LEFT VALIGN=TOP>stdbool
1661       </TR>
1662       <TR>
1663         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrtol"></A><A HREF="modules/xstrtol">xstrtol</A>
1664         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrtol.h">xstrtol.h</A>"
1665         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/intprops.h">intprops.h</A><BR><A HREF="lib/xstrtol.c">xstrtol.c</A><BR><A HREF="lib/xstrtoul.c">xstrtoul.c</A>
1666         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/intmax_t.m4">intmax_t.m4</A><BR><A HREF="m4/xstrtol.m4">xstrtol.m4</A><BR>gl_XSTRTOL
1667         <TD ALIGN=LEFT VALIGN=TOP>exitfail<BR>error
1668       </TR>
1669     </TABLE>
1670     <H3><A NAME="ansic_ext_time_datetime">Date and time &lt;time.h&gt;</A></H3>
1671     <TABLE>
1672       <TR>
1673         <TH ALIGN=LEFT>modules/
1674         <TH ALIGN=LEFT>lib/
1675         <TH ALIGN=LEFT>lib/
1676         <TH ALIGN=LEFT>m4/
1677         <TH ALIGN=LEFT>&nbsp;
1678       </TR>
1679       <TR>
1680         <TH ALIGN=LEFT>Module
1681         <TH ALIGN=LEFT>Header
1682         <TH ALIGN=LEFT>Implementation
1683         <TH ALIGN=LEFT>Autoconf macro
1684         <TH ALIGN=LEFT>Depends on
1685       </TR>
1686       <TR>
1687         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getdate"></A><A HREF="modules/getdate">getdate</A>
1688         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getdate.h">getdate.h</A>"
1689         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getdate.y">getdate.y</A>
1690         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/bison.m4">bison.m4</A><BR><A HREF="m4/tm_gmtoff.m4">tm_gmtoff.m4</A><BR><A HREF="m4/getdate.m4">getdate.m4</A><BR>gl_GETDATE
1691         <TD ALIGN=LEFT VALIGN=TOP>timespec<BR>stdbool<BR>gettime<BR>mktime<BR>setenv<BR>xalloc
1692       </TR>
1693       <TR>
1694         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=timegm"></A><A HREF="modules/timegm">timegm</A>
1695         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/timegm.h">timegm.h</A>"
1696         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/timegm.c">timegm.c</A>
1697         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/timegm.m4">timegm.m4</A><BR>gl_FUNC_TIMEGM
1698         <TD ALIGN=LEFT VALIGN=TOP>mktime<BR>time_r
1699       </TR>
1700       <TR>
1701         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=tzset"></A><A HREF="modules/tzset">tzset</A>
1702         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/time.h.html">time.h</A>&gt;
1703         <TD ALIGN=LEFT VALIGN=TOP>---
1704         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/tzset.m4">tzset.m4</A><BR>gl_FUNC_TZSET_CLOBBER
1705         <TD ALIGN=LEFT VALIGN=TOP>gettimeofday
1706       </TR>
1707     </TABLE>
1708     <H3><A NAME="ansic_ext_stdio">Input/Output &lt;stdio.h&gt;</A></H3>
1709     <TABLE>
1710       <TR>
1711         <TH ALIGN=LEFT>modules/
1712         <TH ALIGN=LEFT>lib/
1713         <TH ALIGN=LEFT>lib/
1714         <TH ALIGN=LEFT>m4/
1715         <TH ALIGN=LEFT>&nbsp;
1716       </TR>
1717       <TR>
1718         <TH ALIGN=LEFT>Module
1719         <TH ALIGN=LEFT>Header
1720         <TH ALIGN=LEFT>Implementation
1721         <TH ALIGN=LEFT>Autoconf macro
1722         <TH ALIGN=LEFT>Depends on
1723       </TR>
1724       <TR>
1725         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unlocked-io"></A><A HREF="modules/unlocked-io">unlocked-io</A>
1726         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/unlocked-io.h">unlocked-io.h</A>"
1727         <TD ALIGN=LEFT VALIGN=TOP>---
1728         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unlocked-io.m4">unlocked-io.m4</A><BR>gl_FUNC_GLIBC_UNLOCKED_IO
1729         <TD ALIGN=LEFT VALIGN=TOP>extensions
1730       </TR>
1731       <TR>
1732         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fwriteerror"></A><A HREF="modules/fwriteerror">fwriteerror</A>
1733         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fwriteerror.h">fwriteerror.h</A>"
1734         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fwriteerror.c">fwriteerror.c</A>
1735         <TD ALIGN=LEFT VALIGN=TOP>---
1736         <TD ALIGN=LEFT VALIGN=TOP>stdbool
1737       </TR>
1738       <TR>
1739         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=vasnprintf"></A><A HREF="modules/vasnprintf">vasnprintf</A>
1740         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/vasnprintf.h">vasnprintf.h</A>"
1741         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/printf-args.h">printf-args.h</A><BR><A HREF="lib/printf-args.c">printf-args.c</A><BR><A HREF="lib/printf-parse.h">printf-parse.h</A><BR><A HREF="lib/printf-parse.c">printf-parse.c</A><BR><A HREF="lib/vasnprintf.c">vasnprintf.c</A><BR><A HREF="lib/asnprintf.c">asnprintf.c</A>
1742         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/signed.m4">signed.m4</A><BR><A HREF="m4/longdouble.m4">longdouble.m4</A><BR><A HREF="m4/wchar_t.m4">wchar_t.m4</A><BR><A HREF="m4/wint_t.m4">wint_t.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/intmax_t.m4">intmax_t.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/eoverflow.m4">eoverflow.m4</A><BR><A HREF="m4/vasnprintf.m4">vasnprintf.m4</A><BR>gl_FUNC_VASNPRINTF
1743         <TD ALIGN=LEFT VALIGN=TOP>alloca-opt<BR>xsize
1744       </TR>
1745       <TR>
1746         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=vasprintf"></A><A HREF="modules/vasprintf">vasprintf</A>
1747         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/vasprintf.h">vasprintf.h</A>"
1748         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/vasprintf.c">vasprintf.c</A><BR><A HREF="lib/asprintf.c">asprintf.c</A>
1749         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/vasprintf.m4">vasprintf.m4</A><BR>gl_FUNC_VASPRINTF
1750         <TD ALIGN=LEFT VALIGN=TOP>vasnprintf
1751       </TR>
1752       <TR>
1753         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xvasprintf"></A><A HREF="modules/xvasprintf">xvasprintf</A>
1754         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xvasprintf.h">xvasprintf.h</A>"
1755         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xvasprintf.c">xvasprintf.c</A><BR><A HREF="lib/xasprintf.c">xasprintf.c</A><BR><A HREF="lib/xalloc.h">xalloc.h</A>
1756         <TD ALIGN=LEFT VALIGN=TOP>---
1757         <TD ALIGN=LEFT VALIGN=TOP>vasprintf<BR>xalloc-die
1758       </TR>
1759     </TABLE>
1760     <H3><A NAME="ansic_ext_signal">Signal handling &lt;signal.h&gt;</A></H3>
1761     <TABLE>
1762       <TR>
1763         <TH ALIGN=LEFT>modules/
1764         <TH ALIGN=LEFT>lib/
1765         <TH ALIGN=LEFT>lib/
1766         <TH ALIGN=LEFT>m4/
1767         <TH ALIGN=LEFT>&nbsp;
1768       </TR>
1769       <TR>
1770         <TH ALIGN=LEFT>Module
1771         <TH ALIGN=LEFT>Header
1772         <TH ALIGN=LEFT>Implementation
1773         <TH ALIGN=LEFT>Autoconf macro
1774         <TH ALIGN=LEFT>Depends on
1775       </TR>
1776       <TR>
1777         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fatal-signal"></A><A HREF="modules/fatal-signal">fatal-signal</A>
1778         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fatal-signal.h">fatal-signal.h</A>"
1779         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fatal-signal.c">fatal-signal.c</A>
1780         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fatal-signal.m4">fatal-signal.m4</A><BR><A HREF="m4/signalblocking.m4">signalblocking.m4</A><BR><A HREF="m4/sig_atomic_t.m4">sig_atomic_t.m4</A><BR>gl_FATAL_SIGNAL
1781         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stdbool
1782       </TR>
1783       <TR>
1784         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=raise"></A><A HREF="modules/raise">raise</A>
1785         <TD ALIGN=LEFT VALIGN=TOP>---
1786         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/raise.c">raise.c</A>
1787         <TD ALIGN=LEFT VALIGN=TOP>AC_REPLACE_FUNCS(raise)
1788         <TD ALIGN=LEFT VALIGN=TOP>---
1789       </TR>
1790     </TABLE>
1791     <H3><A NAME="ansic_ext_argv">Command-line arguments</A></H3>
1792     <TABLE>
1793       <TR>
1794         <TH ALIGN=LEFT>modules/
1795         <TH ALIGN=LEFT>lib/
1796         <TH ALIGN=LEFT>lib/
1797         <TH ALIGN=LEFT>m4/
1798         <TH ALIGN=LEFT>&nbsp;
1799       </TR>
1800       <TR>
1801         <TH ALIGN=LEFT>Module
1802         <TH ALIGN=LEFT>Header
1803         <TH ALIGN=LEFT>Implementation
1804         <TH ALIGN=LEFT>Autoconf macro
1805         <TH ALIGN=LEFT>Depends on
1806       </TR>
1807       <TR>
1808         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=argmatch"></A><A HREF="modules/argmatch">argmatch</A>
1809         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/argmatch.h">argmatch.h</A>"
1810         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/argmatch.c">argmatch.c</A>
1811         <TD ALIGN=LEFT VALIGN=TOP>---
1812         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>error<BR>quotearg<BR>quote<BR>exit<BR>exitfail<BR>stdbool
1813       </TR>
1814       <TR>
1815         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=version-etc"></A><A HREF="modules/version-etc">version-etc</A>
1816         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/version-etc.h">version-etc.h</A>"
1817         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/version-etc.c">version-etc.c</A>
1818         <TD ALIGN=LEFT VALIGN=TOP>---
1819         <TD ALIGN=LEFT VALIGN=TOP>gettext-h
1820       </TR>
1821       <TR>
1822         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=version-etc-fsf"></A><A HREF="modules/version-etc-fsf">version-etc-fsf</A>
1823         <TD ALIGN=LEFT VALIGN=TOP>---
1824         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/version-etc-fsf.c">version-etc-fsf.c</A>
1825         <TD ALIGN=LEFT VALIGN=TOP>---
1826         <TD ALIGN=LEFT VALIGN=TOP>version-etc
1827       </TR>
1828       <TR>
1829         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=long-options"></A><A HREF="modules/long-options">long-options</A>
1830         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/long-options.h">long-options.h</A>"
1831         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/long-options.c">long-options.c</A>
1832         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/long-options.m4">long-options.m4</A><BR>gl_LONG_OPTIONS
1833         <TD ALIGN=LEFT VALIGN=TOP>version-etc
1834       </TR>
1835     </TABLE>
1836     <H3><A NAME="ansic_ext_crypto">Cryptographic computations</A></H3>
1837     <TABLE>
1838       <TR>
1839         <TH ALIGN=LEFT>modules/
1840         <TH ALIGN=LEFT>lib/
1841         <TH ALIGN=LEFT>lib/
1842         <TH ALIGN=LEFT>m4/
1843         <TH ALIGN=LEFT>&nbsp;
1844       </TR>
1845       <TR>
1846         <TH ALIGN=LEFT>Module
1847         <TH ALIGN=LEFT>Header
1848         <TH ALIGN=LEFT>Implementation
1849         <TH ALIGN=LEFT>Autoconf macro
1850         <TH ALIGN=LEFT>Depends on
1851       </TR>
1852       <TR>
1853         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=md5"></A><A HREF="modules/md5">md5</A>
1854         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/md5.h">md5.h</A>"
1855         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/md5.c">md5.c</A>
1856         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/md5.m4">md5.m4</A><BR><A HREF="m4/uint32_t.m4">uint32_t.m4</A><BR>gl_MD5
1857         <TD ALIGN=LEFT VALIGN=TOP>---
1858       </TR>
1859       <TR>
1860         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sha1"></A><A HREF="modules/sha1">sha1</A>
1861         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/sha1.h">sha1.h</A>"
1862         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sha1.c">sha1.c</A>
1863         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/sha1.m4">sha1.m4</A><BR>gl_SHA1
1864         <TD ALIGN=LEFT VALIGN=TOP>md5
1865       </TR>
1866     </TABLE>
1867     <H3><A NAME="ansic_ext_misc">Misc</A></H3>
1868     <TABLE>
1869       <TR>
1870         <TH ALIGN=LEFT>modules/
1871         <TH ALIGN=LEFT>lib/
1872         <TH ALIGN=LEFT>lib/
1873         <TH ALIGN=LEFT>m4/
1874         <TH ALIGN=LEFT>&nbsp;
1875       </TR>
1876       <TR>
1877         <TH ALIGN=LEFT>Module
1878         <TH ALIGN=LEFT>Header
1879         <TH ALIGN=LEFT>Implementation
1880         <TH ALIGN=LEFT>Autoconf macro
1881         <TH ALIGN=LEFT>Depends on
1882       </TR>
1883       <TR>
1884         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=base64"></A><A HREF="modules/base64">base64</A>
1885         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/base64.h">base64.h</A>"
1886         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/base64.c">base64.c</A>
1887         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/base64.m4">base64.m4</A><BR>gl_FUNC_BASE64
1888         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>restrict
1889       </TR>
1890       <TR>
1891         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=check-version"></A><A HREF="modules/check-version">check-version</A>
1892         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/check-version.h">check-version.h</A>"
1893         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/check-version.c">check-version.c</A>
1894         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/check-version.m4">check-version.m4</A><BR>gl_CHECK_VERSION
1895         <TD ALIGN=LEFT VALIGN=TOP>strverscmp
1896       </TR>
1897       <TR>
1898         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=diacrit"></A><A HREF="modules/diacrit">diacrit</A>
1899         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/diacrit.h">diacrit.h</A>"
1900         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/diacrit.c">diacrit.c</A>
1901         <TD ALIGN=LEFT VALIGN=TOP>---
1902         <TD ALIGN=LEFT VALIGN=TOP>---
1903       </TR>
1904       <TR>
1905         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getline"></A><A HREF="modules/getline">getline</A>
1906         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getline.h">getline.h</A>"
1907         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getline.c">getline.c</A>
1908         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getline.m4">getline.m4</A><BR>gl_FUNC_GETLINE
1909         <TD ALIGN=LEFT VALIGN=TOP>getdelim
1910       </TR>
1911       <TR>
1912         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getdelim"></A><A HREF="modules/getdelim">getdelim</A>
1913         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getdelim.h">getdelim.h</A>"
1914         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getdelim.c">getdelim.c</A>
1915         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getdelim.m4">getdelim.m4</A><BR>gl_FUNC_GETDELIM
1916         <TD ALIGN=LEFT VALIGN=TOP>---
1917       </TR>
1918       <TR>
1919         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getnline"></A><A HREF="modules/getnline">getnline</A>
1920         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getnline.h">getnline.h</A>"
1921         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getnline.c">getnline.c</A>
1922         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getnline.m4">getnline.m4</A><BR>gl_GETNLINE
1923         <TD ALIGN=LEFT VALIGN=TOP>getndelim2<BR>ssize_t
1924       </TR>
1925       <TR>
1926         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getndelim2"></A><A HREF="modules/getndelim2">getndelim2</A>
1927         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getndelim2.h">getndelim2.h</A>"
1928         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getndelim2.c">getndelim2.c</A>
1929         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getndelim2.m4">getndelim2.m4</A><BR>gl_GETNDELIM2
1930         <TD ALIGN=LEFT VALIGN=TOP>ssize_t
1931       </TR>
1932       <TR>
1933         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=linebuffer"></A><A HREF="modules/linebuffer">linebuffer</A>
1934         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/linebuffer.h">linebuffer.h</A>"
1935         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/linebuffer.c">linebuffer.c</A>
1936         <TD ALIGN=LEFT VALIGN=TOP>---
1937         <TD ALIGN=LEFT VALIGN=TOP>xalloc
1938       </TR>
1939       <TR>
1940         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=obstack"></A><A HREF="modules/obstack">obstack</A>
1941         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/obstack.h">obstack.h</A>"
1942         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/obstack.c">obstack.c</A>
1943         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/obstack.m4">obstack.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR>gl_OBSTACK
1944         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>exit<BR>exitfail
1945       </TR>
1946       <TR>
1947         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=hash-pjw"></A><A HREF="modules/hash-pjw">hash-pjw</A>
1948         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/hash-pjw.h">hash-pjw.h</A>"
1949         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/hash-pjw.c">hash-pjw.c</A>
1950         <TD ALIGN=LEFT VALIGN=TOP>---
1951         <TD ALIGN=LEFT VALIGN=TOP>---
1952       </TR>
1953       <TR>
1954         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=hash"></A><A HREF="modules/hash">hash</A>
1955         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/hash.h">hash.h</A>"
1956         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/hash.c">hash.c</A>
1957         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/hash.m4">hash.m4</A><BR>gl_HASH
1958         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xalloc
1959       </TR>
1960       <TR>
1961         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readline"></A><A HREF="modules/readline">readline</A>
1962         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/readline.h">readline.h</A>"
1963         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readline.c">readline.c</A>
1964         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/readline.m4">readline.m4</A><BR><A HREF="m4/lib-link.m4">lib-link.m4</A><BR>gl_FUNC_READLINE
1965         <TD ALIGN=LEFT VALIGN=TOP>getline
1966       </TR>
1967       <TR>
1968         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readtokens"></A><A HREF="modules/readtokens">readtokens</A>
1969         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/readtokens.h">readtokens.h</A>"
1970         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readtokens.c">readtokens.c</A>
1971         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/readtokens.m4">readtokens.m4</A><BR>gl_READTOKENS
1972         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stdbool
1973       </TR>
1974       <TR>
1975         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readtokens0"></A><A HREF="modules/readtokens0">readtokens0</A>
1976         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/readtokens0.h">readtokens0.h</A>"
1977         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readtokens0.c">readtokens0.c</A>
1978         <TD ALIGN=LEFT VALIGN=TOP>---
1979         <TD ALIGN=LEFT VALIGN=TOP>obstack<BR>stdbool
1980       </TR>
1981       <TR>
1982         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strverscmp"></A><A HREF="modules/strverscmp">strverscmp</A>
1983         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strverscmp.h">strverscmp.h</A>"
1984         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strverscmp.c">strverscmp.c</A>
1985         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strverscmp.m4">strverscmp.m4</A><BR>gl_FUNC_STRVERSCMP
1986         <TD ALIGN=LEFT VALIGN=TOP>---
1987       </TR>
1988     </TABLE>
1989     <H2><A NAME="isoc_sup">Support for systems lacking ISO C 99</A></H2>
1990     <H3><A NAME="isoc_sup_keywords">Keywords</A></H3>
1991     <TABLE>
1992       <TR>
1993         <TH ALIGN=LEFT>modules/
1994         <TH ALIGN=LEFT>lib/
1995         <TH ALIGN=LEFT>lib/
1996         <TH ALIGN=LEFT>m4/
1997         <TH ALIGN=LEFT>&nbsp;
1998       </TR>
1999       <TR>
2000         <TH ALIGN=LEFT>Module
2001         <TH ALIGN=LEFT>Header
2002         <TH ALIGN=LEFT>Implementation
2003         <TH ALIGN=LEFT>Autoconf macro
2004         <TH ALIGN=LEFT>Depends on
2005       </TR>
2006       <TR>
2007         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=restrict"></A><A HREF="modules/restrict">restrict</A>
2008         <TD ALIGN=LEFT VALIGN=TOP>---
2009         <TD ALIGN=LEFT VALIGN=TOP>---
2010         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/restrict.m4">restrict.m4</A><BR>gl_C_RESTRICT
2011         <TD ALIGN=LEFT VALIGN=TOP>---
2012       </TR>
2013     </TABLE>
2014     <H3><A NAME="isoc_sup_limits">Sizes of integer types &lt;limits.h&gt;</A></H3>
2015     <TABLE>
2016       <TR>
2017         <TH ALIGN=LEFT>modules/
2018         <TH ALIGN=LEFT>lib/
2019         <TH ALIGN=LEFT>lib/
2020         <TH ALIGN=LEFT>m4/
2021         <TH ALIGN=LEFT>&nbsp;
2022       </TR>
2023       <TR>
2024         <TH ALIGN=LEFT>Module
2025         <TH ALIGN=LEFT>Header
2026         <TH ALIGN=LEFT>Implementation
2027         <TH ALIGN=LEFT>Autoconf macro
2028         <TH ALIGN=LEFT>Depends on
2029       </TR>
2030       <TR>
2031         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ullong_max"></A><A HREF="modules/ullong_max">ullong_max</A>
2032         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/limits.h.html">limits.h</A>&gt;
2033         <TD ALIGN=LEFT VALIGN=TOP>---
2034         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ullong_max.m4">ullong_max.m4</A><BR>gl_ULLONG_MAX
2035         <TD ALIGN=LEFT VALIGN=TOP>---
2036       </TR>
2037       <TR>
2038         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=size_max"></A><A HREF="modules/size_max">size_max</A>
2039         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/size_max.h">size_max.h</A>"
2040         <TD ALIGN=LEFT VALIGN=TOP>---
2041         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/size_max.m4">size_max.m4</A><BR>gl_SIZE_MAX
2042         <TD ALIGN=LEFT VALIGN=TOP>---
2043       </TR>
2044     </TABLE>
2045     <H3><A NAME="isoc_sup_stdbool">Boolean type and values &lt;stdbool.h&gt;</A></H3>
2046     <TABLE>
2047       <TR>
2048         <TH ALIGN=LEFT>modules/
2049         <TH ALIGN=LEFT>lib/
2050         <TH ALIGN=LEFT>lib/
2051         <TH ALIGN=LEFT>m4/
2052         <TH ALIGN=LEFT>&nbsp;
2053       </TR>
2054       <TR>
2055         <TH ALIGN=LEFT>Module
2056         <TH ALIGN=LEFT>Header
2057         <TH ALIGN=LEFT>Implementation
2058         <TH ALIGN=LEFT>Autoconf macro
2059         <TH ALIGN=LEFT>Depends on
2060       </TR>
2061       <TR>
2062         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stdbool"></A><A HREF="modules/stdbool">stdbool</A>
2063         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdbool.h.html">stdbool.h</A>&gt;
2064         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stdbool_.h">stdbool_.h</A>
2065         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stdbool.m4">stdbool.m4</A><BR>AM_STDBOOL_H
2066         <TD ALIGN=LEFT VALIGN=TOP>---
2067       </TR>
2068     </TABLE>
2069     <H3><A NAME="isoc_sup_stdint">Integer types and values &lt;stdint.h&gt;</A></H3>
2070     <TABLE>
2071       <TR>
2072         <TH ALIGN=LEFT>modules/
2073         <TH ALIGN=LEFT>lib/
2074         <TH ALIGN=LEFT>lib/
2075         <TH ALIGN=LEFT>m4/
2076         <TH ALIGN=LEFT>&nbsp;
2077       </TR>
2078       <TR>
2079         <TH ALIGN=LEFT>Module
2080         <TH ALIGN=LEFT>Header
2081         <TH ALIGN=LEFT>Implementation
2082         <TH ALIGN=LEFT>Autoconf macro
2083         <TH ALIGN=LEFT>Depends on
2084       </TR>
2085       <TR>
2086         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stdint"></A><A HREF="modules/stdint">stdint</A>
2087         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdint.h.html">stdint.h</A>&gt;
2088         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/stdint_.h">stdint_.h</A>
2089         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stdint.m4">stdint.m4</A><BR><A HREF="m4/inttypes.m4">inttypes.m4</A><BR>gl_STDINT_H
2090         <TD ALIGN=LEFT VALIGN=TOP>---
2091       </TR>
2092     </TABLE>
2093     <H3><A NAME="isoc_sup_stdio">Input/output &lt;stdio.h&gt;</A></H3>
2094     <TABLE>
2095       <TR>
2096         <TH ALIGN=LEFT>modules/
2097         <TH ALIGN=LEFT>lib/
2098         <TH ALIGN=LEFT>lib/
2099         <TH ALIGN=LEFT>m4/
2100         <TH ALIGN=LEFT>&nbsp;
2101       </TR>
2102       <TR>
2103         <TH ALIGN=LEFT>Module
2104         <TH ALIGN=LEFT>Header
2105         <TH ALIGN=LEFT>Implementation
2106         <TH ALIGN=LEFT>Autoconf macro
2107         <TH ALIGN=LEFT>Depends on
2108       </TR>
2109       <TR>
2110         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=snprintf"></A><A HREF="modules/snprintf">snprintf</A>
2111         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/snprintf.h">snprintf.h</A>"
2112         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/snprintf.c">snprintf.c</A>
2113         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/snprintf.m4">snprintf.m4</A><BR>gl_FUNC_SNPRINTF
2114         <TD ALIGN=LEFT VALIGN=TOP>vasnprintf<BR>minmax
2115       </TR>
2116       <TR>
2117         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=vsnprintf"></A><A HREF="modules/vsnprintf">vsnprintf</A>
2118         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/vsnprintf.h">vsnprintf.h</A>"
2119         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/vsnprintf.c">vsnprintf.c</A>
2120         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/vsnprintf.m4">vsnprintf.m4</A><BR>gl_FUNC_VSNPRINTF
2121         <TD ALIGN=LEFT VALIGN=TOP>vasnprintf<BR>minmax
2122       </TR>
2123     </TABLE>
2124     <H3><A NAME="isoc_sup_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
2125     <TABLE>
2126       <TR>
2127         <TH ALIGN=LEFT>modules/
2128         <TH ALIGN=LEFT>lib/
2129         <TH ALIGN=LEFT>lib/
2130         <TH ALIGN=LEFT>m4/
2131         <TH ALIGN=LEFT>&nbsp;
2132       </TR>
2133       <TR>
2134         <TH ALIGN=LEFT>Module
2135         <TH ALIGN=LEFT>Header
2136         <TH ALIGN=LEFT>Implementation
2137         <TH ALIGN=LEFT>Autoconf macro
2138         <TH ALIGN=LEFT>Depends on
2139       </TR>
2140       <TR>
2141         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoll"></A><A HREF="modules/strtoll">strtoll</A>
2142         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
2143         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoll.c">strtoll.c</A>
2144         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/strtoll.m4">strtoll.m4</A><BR>gl_FUNC_STRTOLL
2145         <TD ALIGN=LEFT VALIGN=TOP>strtol
2146       </TR>
2147       <TR>
2148         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoull"></A><A HREF="modules/strtoull">strtoull</A>
2149         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
2150         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoull.c">strtoull.c</A>
2151         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/strtoull.m4">strtoull.m4</A><BR>gl_FUNC_STRTOULL
2152         <TD ALIGN=LEFT VALIGN=TOP>strtoul
2153       </TR>
2154     </TABLE>
2155     <H3><A NAME="isoc_sup_inttypes">Functions for greatest-width integer types &lt;inttypes.h&gt;</A></H3>
2156     <TABLE>
2157       <TR>
2158         <TH ALIGN=LEFT>modules/
2159         <TH ALIGN=LEFT>lib/
2160         <TH ALIGN=LEFT>lib/
2161         <TH ALIGN=LEFT>m4/
2162         <TH ALIGN=LEFT>&nbsp;
2163       </TR>
2164       <TR>
2165         <TH ALIGN=LEFT>Module
2166         <TH ALIGN=LEFT>Header
2167         <TH ALIGN=LEFT>Implementation
2168         <TH ALIGN=LEFT>Autoconf macro
2169         <TH ALIGN=LEFT>Depends on
2170       </TR>
2171       <TR>
2172         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoimax"></A><A HREF="modules/strtoimax">strtoimax</A>
2173         <TD ALIGN=LEFT VALIGN=TOP>---
2174         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoimax.c">strtoimax.c</A>
2175         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/intmax_t.m4">intmax_t.m4</A><BR><A HREF="m4/strtoimax.m4">strtoimax.m4</A><BR>gl_FUNC_STRTOIMAX
2176         <TD ALIGN=LEFT VALIGN=TOP>strtoll
2177       </TR>
2178       <TR>
2179         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtoumax"></A><A HREF="modules/strtoumax">strtoumax</A>
2180         <TD ALIGN=LEFT VALIGN=TOP>---
2181         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtoumax.c">strtoumax.c</A>
2182         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/strtoumax.m4">strtoumax.m4</A><BR>gl_FUNC_STRTOUMAX
2183         <TD ALIGN=LEFT VALIGN=TOP>strtoimax<BR>strtoull
2184       </TR>
2185     </TABLE>
2186     <H3><A NAME="isoc_sup_math">Mathematics &lt;math.h&gt;</A></H3>
2187     <TABLE>
2188       <TR>
2189         <TH ALIGN=LEFT>modules/
2190         <TH ALIGN=LEFT>lib/
2191         <TH ALIGN=LEFT>lib/
2192         <TH ALIGN=LEFT>m4/
2193         <TH ALIGN=LEFT>&nbsp;
2194       </TR>
2195       <TR>
2196         <TH ALIGN=LEFT>Module
2197         <TH ALIGN=LEFT>Header
2198         <TH ALIGN=LEFT>Implementation
2199         <TH ALIGN=LEFT>Autoconf macro
2200         <TH ALIGN=LEFT>Depends on
2201       </TR>
2202       <TR>
2203         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mathl"></A><A HREF="modules/mathl">mathl</A>
2204         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mathl.h">mathl.h</A>"
2205         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/acosl.c">acosl.c</A><BR><A HREF="lib/asinl.c">asinl.c</A><BR><A HREF="lib/atanl.c">atanl.c</A><BR><A HREF="lib/ceill.c">ceill.c</A><BR><A HREF="lib/cosl.c">cosl.c</A><BR><A HREF="lib/expl.c">expl.c</A><BR><A HREF="lib/floorl.c">floorl.c</A><BR><A HREF="lib/frexpl.c">frexpl.c</A><BR><A HREF="lib/ldexpl.c">ldexpl.c</A><BR><A HREF="lib/logl.c">logl.c</A><BR><A HREF="lib/sincosl.c">sincosl.c</A><BR><A HREF="lib/sinl.c">sinl.c</A><BR><A HREF="lib/sqrtl.c">sqrtl.c</A><BR><A HREF="lib/tanl.c">tanl.c</A><BR><A HREF="lib/trigl.c">trigl.c</A><BR><A HREF="lib/trigl.h">trigl.h</A>
2206         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mathl.m4">mathl.m4</A><BR>gl_FUNC_LONG_DOUBLE_MATH
2207         <TD ALIGN=LEFT VALIGN=TOP>---
2208       </TR>
2209     </TABLE>
2210     <H2><A NAME="isoc_enh">Enhancements for ISO C 99 functions</A></H2>
2211     <TABLE>
2212       <TR>
2213         <TH ALIGN=LEFT>modules/
2214         <TH ALIGN=LEFT>lib/
2215         <TH ALIGN=LEFT>lib/
2216         <TH ALIGN=LEFT>m4/
2217         <TH ALIGN=LEFT>&nbsp;
2218       </TR>
2219       <TR>
2220         <TH ALIGN=LEFT>Module
2221         <TH ALIGN=LEFT>Header
2222         <TH ALIGN=LEFT>Implementation
2223         <TH ALIGN=LEFT>Autoconf macro
2224         <TH ALIGN=LEFT>Depends on
2225       </TR>
2226     </TABLE>
2227     <H2><A NAME="isoc_ext">Extra functions based on ISO C 99</A></H2>
2228     <H3><A NAME="isoc_ext_stdlib_conv">Numeric conversion functions &lt;stdlib.h&gt;</A></H3>
2229     <TABLE>
2230       <TR>
2231         <TH ALIGN=LEFT>modules/
2232         <TH ALIGN=LEFT>lib/
2233         <TH ALIGN=LEFT>lib/
2234         <TH ALIGN=LEFT>m4/
2235         <TH ALIGN=LEFT>&nbsp;
2236       </TR>
2237       <TR>
2238         <TH ALIGN=LEFT>Module
2239         <TH ALIGN=LEFT>Header
2240         <TH ALIGN=LEFT>Implementation
2241         <TH ALIGN=LEFT>Autoconf macro
2242         <TH ALIGN=LEFT>Depends on
2243       </TR>
2244       <TR>
2245         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=inttostr"></A><A HREF="modules/inttostr">inttostr</A>
2246         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/inttostr.h">inttostr.h</A>"
2247         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/imaxtostr.c">imaxtostr.c</A><BR><A HREF="lib/intprops.h">intprops.h</A><BR><A HREF="lib/inttostr.c">inttostr.c</A><BR><A HREF="lib/offtostr.c">offtostr.c</A><BR><A HREF="lib/umaxtostr.c">umaxtostr.c</A>
2248         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/intmax_t.m4">intmax_t.m4</A><BR><A HREF="m4/inttostr.m4">inttostr.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR>gl_INTTOSTR
2249         <TD ALIGN=LEFT VALIGN=TOP>---
2250       </TR>
2251       <TR>
2252         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrtoimax"></A><A HREF="modules/xstrtoimax">xstrtoimax</A>
2253         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrtol.h">xstrtol.h</A>"
2254         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrtoimax.c">xstrtoimax.c</A>
2255         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xstrtoimax.m4">xstrtoimax.m4</A><BR>gl_XSTRTOIMAX
2256         <TD ALIGN=LEFT VALIGN=TOP>xstrtol<BR>strtoimax
2257       </TR>
2258       <TR>
2259         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xstrtoumax"></A><A HREF="modules/xstrtoumax">xstrtoumax</A>
2260         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xstrtol.h">xstrtol.h</A>"
2261         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xstrtoumax.c">xstrtoumax.c</A>
2262         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xstrtoumax.m4">xstrtoumax.m4</A><BR>gl_XSTRTOUMAX
2263         <TD ALIGN=LEFT VALIGN=TOP>xstrtol<BR>strtoumax
2264       </TR>
2265     </TABLE>
2266     <H3><A NAME="isoc_ext_wchar_mb">Extended multibyte and wide character utilities &lt;wchar.h&gt;</A></H3>
2267     <TABLE>
2268       <TR>
2269         <TH ALIGN=LEFT>modules/
2270         <TH ALIGN=LEFT>lib/
2271         <TH ALIGN=LEFT>lib/
2272         <TH ALIGN=LEFT>m4/
2273         <TH ALIGN=LEFT>&nbsp;
2274       </TR>
2275       <TR>
2276         <TH ALIGN=LEFT>Module
2277         <TH ALIGN=LEFT>Header
2278         <TH ALIGN=LEFT>Implementation
2279         <TH ALIGN=LEFT>Autoconf macro
2280         <TH ALIGN=LEFT>Depends on
2281       </TR>
2282       <TR>
2283         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbchar"></A><A HREF="modules/mbchar">mbchar</A>
2284         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mbchar.h">mbchar.h</A>"
2285         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mbchar.c">mbchar.c</A>
2286         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbchar.m4">mbchar.m4</A><BR>gl_MBCHAR
2287         <TD ALIGN=LEFT VALIGN=TOP>stdbool
2288       </TR>
2289       <TR>
2290         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbiter"></A><A HREF="modules/mbiter">mbiter</A>
2291         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mbiter.h">mbiter.h</A>"
2292         <TD ALIGN=LEFT VALIGN=TOP>---
2293         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbiter.m4">mbiter.m4</A><BR>gl_MBITER
2294         <TD ALIGN=LEFT VALIGN=TOP>mbchar<BR>stdbool
2295       </TR>
2296       <TR>
2297         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbfile"></A><A HREF="modules/mbfile">mbfile</A>
2298         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mbfile.h">mbfile.h</A>"
2299         <TD ALIGN=LEFT VALIGN=TOP>---
2300         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbfile.m4">mbfile.m4</A><BR>gl_MBFILE
2301         <TD ALIGN=LEFT VALIGN=TOP>mbchar<BR>stdbool
2302       </TR>
2303     </TABLE>
2304     <H2><A NAME="posix_sup">Support for systems lacking POSIX:2001</A></H2>
2305     <TABLE>
2306       <TR>
2307         <TH ALIGN=LEFT>modules/
2308         <TH ALIGN=LEFT>lib/
2309         <TH ALIGN=LEFT>lib/
2310         <TH ALIGN=LEFT>m4/
2311         <TH ALIGN=LEFT>&nbsp;
2312       </TR>
2313       <TR>
2314         <TH ALIGN=LEFT>Module
2315         <TH ALIGN=LEFT>Header
2316         <TH ALIGN=LEFT>Implementation
2317         <TH ALIGN=LEFT>Autoconf macro
2318         <TH ALIGN=LEFT>Depends on
2319       </TR>
2320       <TR>
2321         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=chown"></A><A HREF="modules/chown">chown</A>
2322         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2323         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/chown.c">chown.c</A><BR><A HREF="lib/fchown-stub.c">fchown-stub.c</A>
2324         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/chown.m4">chown.m4</A><BR>gl_FUNC_CHOWN
2325         <TD ALIGN=LEFT VALIGN=TOP>---
2326       </TR>
2327       <TR>
2328         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=dup2"></A><A HREF="modules/dup2">dup2</A>
2329         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2330         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dup2.c">dup2.c</A>
2331         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dup2.m4">dup2.m4</A><BR>gl_FUNC_DUP2
2332         <TD ALIGN=LEFT VALIGN=TOP>---
2333       </TR>
2334       <TR>
2335         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ftruncate"></A><A HREF="modules/ftruncate">ftruncate</A>
2336         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2337         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/ftruncate.c">ftruncate.c</A>
2338         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ftruncate.m4">ftruncate.m4</A><BR>gl_FUNC_FTRUNCATE
2339         <TD ALIGN=LEFT VALIGN=TOP>---
2340       </TR>
2341       <TR>
2342         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getaddrinfo"></A><A HREF="modules/getaddrinfo">getaddrinfo</A>
2343         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getaddrinfo.h">getaddrinfo.h</A>"
2344         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getaddrinfo.c">getaddrinfo.c</A><BR><A HREF="lib/gai_strerror.c">gai_strerror.c</A>
2345         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getaddrinfo.m4">getaddrinfo.m4</A><BR><A HREF="m4/sockpfaf.m4">sockpfaf.m4</A><BR>gl_GETADDRINFO
2346         <TD ALIGN=LEFT VALIGN=TOP>restrict<BR>gettext-h<BR>stdbool
2347       </TR>
2348       <TR>
2349         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getcwd"></A><A HREF="modules/getcwd">getcwd</A>
2350         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getcwd.h">getcwd.h</A>"
2351         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getcwd.c">getcwd.c</A>
2352         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/d-ino.m4">d-ino.m4</A><BR><A HREF="m4/getcwd-path-max.m4">getcwd-path-max.m4</A><BR><A HREF="m4/getcwd.m4">getcwd.m4</A><BR>gl_FUNC_GETCWD
2353         <TD ALIGN=LEFT VALIGN=TOP>mempcpy<BR>extensions<BR>stdbool
2354       </TR>
2355       <TR>
2356         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getgroups"></A><A HREF="modules/getgroups">getgroups</A>
2357         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2358         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getgroups.c">getgroups.c</A>
2359         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getgroups.m4">getgroups.m4</A><BR>gl_FUNC_GETGROUPS
2360         <TD ALIGN=LEFT VALIGN=TOP>xalloc
2361       </TR>
2362       <TR>
2363         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gethostname"></A><A HREF="modules/gethostname">gethostname</A>
2364         <TD ALIGN=LEFT VALIGN=TOP>---
2365         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gethostname.c">gethostname.c</A>
2366         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gethostname.m4">gethostname.m4</A><BR>gl_FUNC_GETHOSTNAME
2367         <TD ALIGN=LEFT VALIGN=TOP>---
2368       </TR>
2369       <TR>
2370         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getlogin_r"></A><A HREF="modules/getlogin_r">getlogin_r</A>
2371         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getlogin_r.h">getlogin_r.h</A>"
2372         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getlogin_r.c">getlogin_r.c</A>
2373         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getlogin_r.m4">getlogin_r.m4</A><BR>gl_GETLOGIN_R
2374         <TD ALIGN=LEFT VALIGN=TOP>---
2375       </TR>
2376       <TR>
2377         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getsubopt"></A><A HREF="modules/getsubopt">getsubopt</A>
2378         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getsubopt.h">getsubopt.h</A>"
2379         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getsubopt.c">getsubopt.c</A>
2380         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getsubopt.m4">getsubopt.m4</A><BR>gl_FUNC_GETSUBOPT
2381         <TD ALIGN=LEFT VALIGN=TOP>strchrnul
2382       </TR>
2383       <TR>
2384         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gettimeofday"></A><A HREF="modules/gettimeofday">gettimeofday</A>
2385         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/time.h.html">sys/time.h</A>&gt;
2386         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gettimeofday.c">gettimeofday.c</A>
2387         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gettimeofday.m4">gettimeofday.m4</A><BR>AC_FUNC_GETTIMEOFDAY_CLOBBER
2388         <TD ALIGN=LEFT VALIGN=TOP>---
2389       </TR>
2390       <TR>
2391         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=inet_ntop"></A><A HREF="modules/inet_ntop">inet_ntop</A>
2392         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/inet_ntop.h">inet_ntop.h</A>"
2393         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/inet_ntop.c">inet_ntop.c</A>
2394         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/inet_ntop.m4">inet_ntop.m4</A><BR><A HREF="m4/sockpfaf.m4">sockpfaf.m4</A><BR>gl_INET_NTOP
2395         <TD ALIGN=LEFT VALIGN=TOP>---
2396       </TR>
2397       <TR>
2398         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mkdir"></A><A HREF="modules/mkdir">mkdir</A>
2399         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/sys/stat.h.html">sys/stat.h</A>&gt;
2400         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mkdir.c">mkdir.c</A>
2401         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mkdir-slash.m4">mkdir-slash.m4</A><BR>gl_FUNC_MKDIR_TRAILING_SLASH
2402         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>dirname
2403       </TR>
2404       <TR>
2405         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mkstemp"></A><A HREF="modules/mkstemp">mkstemp</A>
2406         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdlib.h.html">stdlib.h</A>&gt;
2407         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mkstemp.c">mkstemp.c</A><BR><A HREF="lib/tempname.c">tempname.c</A>
2408         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/mkstemp.m4">mkstemp.m4</A><BR>gl_FUNC_MKSTEMP
2409         <TD ALIGN=LEFT VALIGN=TOP>stat-macros
2410       </TR>
2411       <TR>
2412         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mkdtemp"></A><A HREF="modules/mkdtemp">mkdtemp</A>
2413         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mkdtemp.h">mkdtemp.h</A>"
2414         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mkdtemp.c">mkdtemp.c</A>
2415         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/mkdtemp.m4">mkdtemp.m4</A><BR>gt_FUNC_MKDTEMP
2416         <TD ALIGN=LEFT VALIGN=TOP>---
2417       </TR>
2418       <TR>
2419         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=poll"></A><A HREF="modules/poll">poll</A>
2420         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/poll.h.html">poll.h</A>&gt;
2421         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/poll.c">poll.c</A><BR><A HREF="lib/poll_.h">poll_.h</A>
2422         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/poll.m4">poll.m4</A><BR>gl_FUNC_POLL
2423         <TD ALIGN=LEFT VALIGN=TOP>---
2424       </TR>
2425       <TR>
2426         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readlink"></A><A HREF="modules/readlink">readlink</A>
2427         <TD ALIGN=LEFT VALIGN=TOP>---
2428         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readlink.c">readlink.c</A>
2429         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/readlink.m4">readlink.m4</A><BR>gl_FUNC_READLINK
2430         <TD ALIGN=LEFT VALIGN=TOP>---
2431       </TR>
2432       <TR>
2433         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=lstat"></A><A HREF="modules/lstat">lstat</A>
2434         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/lstat.h">lstat.h</A>"
2435         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/lstat.c">lstat.c</A>
2436         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lstat.m4">lstat.m4</A><BR>gl_FUNC_LSTAT
2437         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stat-macros
2438       </TR>
2439       <TR>
2440         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=time_r"></A><A HREF="modules/time_r">time_r</A>
2441         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/time_r.h">time_r.h</A>"
2442         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/time_r.c">time_r.c</A>
2443         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/time_r.m4">time_r.m4</A><BR>gl_TIME_R
2444         <TD ALIGN=LEFT VALIGN=TOP>extensions<BR>restrict
2445       </TR>
2446       <TR>
2447         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=timespec"></A><A HREF="modules/timespec">timespec</A>
2448         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/timespec.h">timespec.h</A>"
2449         <TD ALIGN=LEFT VALIGN=TOP>---
2450         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/st_mtim.m4">st_mtim.m4</A><BR><A HREF="m4/timespec.m4">timespec.m4</A><BR>gl_TIMESPEC
2451         <TD ALIGN=LEFT VALIGN=TOP>extensions
2452       </TR>
2453       <TR>
2454         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=nanosleep"></A><A HREF="modules/nanosleep">nanosleep</A>
2455         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/time.h.html">time.h</A>&gt;
2456         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/nanosleep.c">nanosleep.c</A>
2457         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/nanosleep.m4">nanosleep.m4</A><BR>gl_FUNC_NANOSLEEP
2458         <TD ALIGN=LEFT VALIGN=TOP>timespec<BR>stdbool
2459       </TR>
2460       <TR>
2461         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=regex"></A><A HREF="modules/regex">regex</A>
2462         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/regex.h">regex.h</A>"
2463         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/regex.c">regex.c</A><BR><A HREF="lib/regex_internal.c">regex_internal.c</A><BR><A HREF="lib/regex_internal.h">regex_internal.h</A><BR><A HREF="lib/regexec.c">regexec.c</A><BR><A HREF="lib/regcomp.c">regcomp.c</A>
2464         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/codeset.m4">codeset.m4</A><BR><A HREF="m4/regex.m4">regex.m4</A><BR>gl_REGEX
2465         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>extensions<BR>gettext-h<BR>restrict
2466       </TR>
2467       <TR>
2468         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rename"></A><A HREF="modules/rename">rename</A>
2469         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/stdio.h.html">stdio.h</A>&gt;
2470         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/rename.c">rename.c</A>
2471         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/rename.m4">rename.m4</A><BR>vb_FUNC_RENAME
2472         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>dirname
2473       </TR>
2474       <TR>
2475         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rmdir"></A><A HREF="modules/rmdir">rmdir</A>
2476         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/unistd.h.html">unistd.h</A>&gt;
2477         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/rmdir.c">rmdir.c</A>
2478         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/rmdir.m4">rmdir.m4</A><BR>gl_FUNC_RMDIR
2479         <TD ALIGN=LEFT VALIGN=TOP>stat-macros
2480       </TR>
2481       <TR>
2482         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ssize_t"></A><A HREF="modules/ssize_t">ssize_t</A>
2483         <TD ALIGN=LEFT VALIGN=TOP>---
2484         <TD ALIGN=LEFT VALIGN=TOP>---
2485         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ssize_t.m4">ssize_t.m4</A><BR>gt_TYPE_SSIZE_T
2486         <TD ALIGN=LEFT VALIGN=TOP>---
2487       </TR>
2488       <TR>
2489         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=strtok_r"></A><A HREF="modules/strtok_r">strtok_r</A>
2490         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/strtok_r.h">strtok_r.h</A>"
2491         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/strtok_r.c">strtok_r.c</A>
2492         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/strtok_r.m4">strtok_r.m4</A><BR>gl_FUNC_STRTOK_R
2493         <TD ALIGN=LEFT VALIGN=TOP>---
2494       </TR>
2495       <TR>
2496         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utime"></A><A HREF="modules/utime">utime</A>
2497         <TD ALIGN=LEFT VALIGN=TOP>#if HAVE_UTIME_H<BR># include &lt;utime.h&gt;<BR>#else<BR># include &lt;sys/utime.h&gt;<BR>#endif
2498         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utime.c">utime.c</A>
2499         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utimbuf.m4">utimbuf.m4</A><BR><A HREF="m4/utime.m4">utime.m4</A><BR><A HREF="m4/utimes.m4">utimes.m4</A><BR><A HREF="m4/utimes-null.m4">utimes-null.m4</A><BR>gl_FUNC_UTIME
2500         <TD ALIGN=LEFT VALIGN=TOP>safe-read<BR>full-write
2501       </TR>
2502     </TABLE>
2503     <H2><A NAME="posix_enh">Enhancements for POSIX:2001 functions</A></H2>
2504     <TABLE>
2505       <TR>
2506         <TH ALIGN=LEFT>modules/
2507         <TH ALIGN=LEFT>lib/
2508         <TH ALIGN=LEFT>lib/
2509         <TH ALIGN=LEFT>m4/
2510         <TH ALIGN=LEFT>&nbsp;
2511       </TR>
2512       <TR>
2513         <TH ALIGN=LEFT>Module
2514         <TH ALIGN=LEFT>Header
2515         <TH ALIGN=LEFT>Implementation
2516         <TH ALIGN=LEFT>Autoconf macro
2517         <TH ALIGN=LEFT>Depends on
2518       </TR>
2519       <TR>
2520         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=dirname"></A><A HREF="modules/dirname">dirname</A>
2521         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/dirname.h">dirname.h</A>"
2522         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dirname.c">dirname.c</A><BR><A HREF="lib/basename.c">basename.c</A><BR><A HREF="lib/stripslash.c">stripslash.c</A>
2523         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dos.m4">dos.m4</A><BR><A HREF="m4/dirname.m4">dirname.m4</A><BR>gl_DIRNAME
2524         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stdbool
2525       </TR>
2526       <TR>
2527         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getopt"></A><A HREF="modules/getopt">getopt</A>
2528         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;getopt.h&gt;
2529         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getopt_.h">getopt_.h</A><BR><A HREF="lib/getopt.c">getopt.c</A><BR><A HREF="lib/getopt1.c">getopt1.c</A><BR><A HREF="lib/getopt_int.h">getopt_int.h</A>
2530         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getopt.m4">getopt.m4</A><BR>gl_GETOPT
2531         <TD ALIGN=LEFT VALIGN=TOP>gettext-h
2532       </TR>
2533       <TR>
2534         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unistd-safer"></A><A HREF="modules/unistd-safer">unistd-safer</A>
2535         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/unistd-safer.h">unistd-safer.h</A>"
2536         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dup-safer.c">dup-safer.c</A><BR><A HREF="lib/fd-safer.c">fd-safer.c</A>
2537         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unistd-safer.m4">unistd-safer.m4</A><BR>gl_UNISTD_SAFER
2538         <TD ALIGN=LEFT VALIGN=TOP>---
2539       </TR>
2540       <TR>
2541         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fnmatch"></A><A HREF="modules/fnmatch">fnmatch</A>
2542         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/fnmatch.h.html">fnmatch.h</A>&gt;
2543         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fnmatch_.h">fnmatch_.h</A><BR><A HREF="lib/fnmatch.c">fnmatch.c</A><BR><A HREF="lib/fnmatch_loop.c">fnmatch_loop.c</A>
2544         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbstate_t.m4">mbstate_t.m4</A><BR><A HREF="m4/fnmatch.m4">fnmatch.m4</A><BR># No macro. You should also use one of fnmatch-posix or fnmatch-gnu.
2545         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>stdbool
2546       </TR>
2547       <TR>
2548         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fnmatch-posix"></A><A HREF="modules/fnmatch-posix">fnmatch-posix</A>
2549         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fnmatch.h">fnmatch.h</A>"
2550         <TD ALIGN=LEFT VALIGN=TOP>---
2551         <TD ALIGN=LEFT VALIGN=TOP>gl_FUNC_FNMATCH_POSIX
2552         <TD ALIGN=LEFT VALIGN=TOP>fnmatch
2553       </TR>
2554       <TR>
2555         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fnmatch-gnu"></A><A HREF="modules/fnmatch-gnu">fnmatch-gnu</A>
2556         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fnmatch.h">fnmatch.h</A>"
2557         <TD ALIGN=LEFT VALIGN=TOP>---
2558         <TD ALIGN=LEFT VALIGN=TOP>gl_FUNC_FNMATCH_GNU
2559         <TD ALIGN=LEFT VALIGN=TOP>fnmatch
2560       </TR>
2561       <TR>
2562         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=glob"></A><A HREF="modules/glob">glob</A>
2563         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/glob.h.html">glob.h</A>&gt;
2564         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/glob_.h">glob_.h</A><BR><A HREF="lib/glob.c">glob.c</A>
2565         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/d-type.m4">d-type.m4</A><BR><A HREF="m4/glob.m4">glob.m4</A><BR>gl_GLOB
2566         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>extensions<BR>fnmatch<BR>getlogin_r<BR>mempcpy<BR>restrict<BR>stat-macros<BR>stdbool<BR>strdup
2567       </TR>
2568       <TR>
2569         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=exclude"></A><A HREF="modules/exclude">exclude</A>
2570         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/exclude.h">exclude.h</A>"
2571         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/exclude.c">exclude.c</A>
2572         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/exclude.m4">exclude.m4</A><BR>gl_EXCLUDE
2573         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>strcase<BR>fnmatch-gnu<BR>stdbool
2574       </TR>
2575     </TABLE>
2576     <H2><A NAME="posix_ext">Extra functions based on POSIX:2001</A></H2>
2577     <H3><A NAME="posix_ext_conv">Numeric conversion functions</A></H3>
2578     <TABLE>
2579       <TR>
2580         <TH ALIGN=LEFT>modules/
2581         <TH ALIGN=LEFT>lib/
2582         <TH ALIGN=LEFT>lib/
2583         <TH ALIGN=LEFT>m4/
2584         <TH ALIGN=LEFT>&nbsp;
2585       </TR>
2586       <TR>
2587         <TH ALIGN=LEFT>Module
2588         <TH ALIGN=LEFT>Header
2589         <TH ALIGN=LEFT>Implementation
2590         <TH ALIGN=LEFT>Autoconf macro
2591         <TH ALIGN=LEFT>Depends on
2592       </TR>
2593       <TR>
2594         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=human"></A><A HREF="modules/human">human</A>
2595         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/human.h">human.h</A>"
2596         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/human.c">human.c</A><BR><A HREF="lib/intprops.h">intprops.h</A>
2597         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/human.m4">human.m4</A><BR>gl_HUMAN
2598         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>argmatch<BR>error<BR>xstrtol<BR>stdbool
2599       </TR>
2600     </TABLE>
2601     <H3><A NAME="posix_ext_filesys">File system functions</A></H3>
2602     <TABLE>
2603       <TR>
2604         <TH ALIGN=LEFT>modules/
2605         <TH ALIGN=LEFT>lib/
2606         <TH ALIGN=LEFT>lib/
2607         <TH ALIGN=LEFT>m4/
2608         <TH ALIGN=LEFT>&nbsp;
2609       </TR>
2610       <TR>
2611         <TH ALIGN=LEFT>Module
2612         <TH ALIGN=LEFT>Header
2613         <TH ALIGN=LEFT>Implementation
2614         <TH ALIGN=LEFT>Autoconf macro
2615         <TH ALIGN=LEFT>Depends on
2616       </TR>
2617       <TR>
2618         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=acl"></A><A HREF="modules/acl">acl</A>
2619         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/acl.h">acl.h</A>"
2620         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/acl.c">acl.c</A>
2621         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/acl.m4">acl.m4</A><BR>AC_FUNC_ACL
2622         <TD ALIGN=LEFT VALIGN=TOP>---
2623       </TR>
2624       <TR>
2625         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=backupfile"></A><A HREF="modules/backupfile">backupfile</A>
2626         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/backupfile.h">backupfile.h</A>"
2627         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/backupfile.c">backupfile.c</A>
2628         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dos.m4">dos.m4</A><BR><A HREF="m4/d-ino.m4">d-ino.m4</A><BR><A HREF="m4/backupfile.m4">backupfile.m4</A><BR>gl_BACKUPFILE
2629         <TD ALIGN=LEFT VALIGN=TOP>argmatch<BR>dirname<BR>stdbool
2630       </TR>
2631       <TR>
2632         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=canonicalize"></A><A HREF="modules/canonicalize">canonicalize</A>
2633         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/canonicalize.h">canonicalize.h</A>"
2634         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/canonicalize.c">canonicalize.c</A><BR><A HREF="lib/pathmax.h">pathmax.h</A>
2635         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/canonicalize.m4">canonicalize.m4</A><BR>AC_FUNC_CANONICALIZE_FILE_NAME
2636         <TD ALIGN=LEFT VALIGN=TOP>cycle-check<BR>filenamecat<BR>stat-macros<BR>xalloc<BR>xgetcwd<BR>xreadlink
2637       </TR>
2638       <TR>
2639         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=copy-file"></A><A HREF="modules/copy-file">copy-file</A>
2640         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/copy-file.h">copy-file.h</A>"
2641         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/copy-file.c">copy-file.c</A>
2642         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/copy-file.m4">copy-file.m4</A><BR>gl_COPY_FILE
2643         <TD ALIGN=LEFT VALIGN=TOP>error<BR>safe-read<BR>full-write<BR>binary-io<BR>exit<BR>gettext-h
2644       </TR>
2645       <TR>
2646         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=cycle-check"></A><A HREF="modules/cycle-check">cycle-check</A>
2647         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/cycle-check.h">cycle-check.h</A>"
2648         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/cycle-check.c">cycle-check.c</A><BR><A HREF="lib/dev-ino.h">dev-ino.h</A>
2649         <TD ALIGN=LEFT VALIGN=TOP>---
2650         <TD ALIGN=LEFT VALIGN=TOP>stdbool
2651       </TR>
2652       <TR>
2653         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fsusage"></A><A HREF="modules/fsusage">fsusage</A>
2654         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fsusage.h">fsusage.h</A>"
2655         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fsusage.c">fsusage.c</A>
2656         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/fsusage.m4">fsusage.m4</A><BR>gl_FSUSAGE
2657         <TD ALIGN=LEFT VALIGN=TOP>full-read<BR>stdbool
2658       </TR>
2659       <TR>
2660         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=dirfd"></A><A HREF="modules/dirfd">dirfd</A>
2661         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/dirfd.h">dirfd.h</A>"
2662         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/dirfd.c">dirfd.c</A>
2663         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dirfd.m4">dirfd.m4</A><BR>gl_FUNC_DIRFD
2664         <TD ALIGN=LEFT VALIGN=TOP>---
2665       </TR>
2666       <TR>
2667         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=euidaccess"></A><A HREF="modules/euidaccess">euidaccess</A>
2668         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/euidaccess.h">euidaccess.h</A>"
2669         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/euidaccess.c">euidaccess.c</A>
2670         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/euidaccess.m4">euidaccess.m4</A><BR>gl_FUNC_EUIDACCESS
2671         <TD ALIGN=LEFT VALIGN=TOP>group-member<BR>stat-macros
2672       </TR>
2673       <TR>
2674         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=file-type"></A><A HREF="modules/file-type">file-type</A>
2675         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/file-type.h">file-type.h</A>"
2676         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/file-type.c">file-type.c</A>
2677         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/file-type.m4">file-type.m4</A><BR>gl_FILE_TYPE
2678         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>stat-macros
2679       </TR>
2680       <TR>
2681         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fileblocks"></A><A HREF="modules/fileblocks">fileblocks</A>
2682         <TD ALIGN=LEFT VALIGN=TOP>---
2683         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fileblocks.c">fileblocks.c</A>
2684         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fileblocks.m4">fileblocks.m4</A><BR>gl_FILEBLOCKS
2685         <TD ALIGN=LEFT VALIGN=TOP>---
2686       </TR>
2687       <TR>
2688         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=filemode"></A><A HREF="modules/filemode">filemode</A>
2689         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/filemode.h">filemode.h</A>"
2690         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/filemode.c">filemode.c</A>
2691         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/filemode.m4">filemode.m4</A><BR>gl_FILEMODE
2692         <TD ALIGN=LEFT VALIGN=TOP>stat-macros
2693       </TR>
2694       <TR>
2695         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=filenamecat"></A><A HREF="modules/filenamecat">filenamecat</A>
2696         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/filenamecat.h">filenamecat.h</A>"
2697         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/filenamecat.c">filenamecat.c</A>
2698         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/dos.m4">dos.m4</A><BR><A HREF="m4/filenamecat.m4">filenamecat.m4</A><BR>gl_FILE_NAME_CONCAT
2699         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>dirname
2700       </TR>
2701       <TR>
2702         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fts"></A><A HREF="modules/fts">fts</A>
2703         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fts_.h">fts_.h</A>"
2704         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fts.c">fts.c</A><BR><A HREF="lib/fts-cycle.c">fts-cycle.c</A>
2705         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fts.m4">fts.m4</A><BR>gl_FUNC_FTS
2706         <TD ALIGN=LEFT VALIGN=TOP>cycle-check<BR>dirfd<BR>hash<BR>lstat<BR>stdbool<BR>unistd-safer
2707       </TR>
2708       <TR>
2709         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fts-lgpl"></A><A HREF="modules/fts-lgpl">fts-lgpl</A>
2710         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/fts_.h">fts_.h</A>"
2711         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fts.c">fts.c</A>
2712         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fts.m4">fts.m4</A><BR>gl_FUNC_FTS_LGPL
2713         <TD ALIGN=LEFT VALIGN=TOP>dirfd<BR>stdbool
2714       </TR>
2715       <TR>
2716         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=isdir"></A><A HREF="modules/isdir">isdir</A>
2717         <TD ALIGN=LEFT VALIGN=TOP>---
2718         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/isdir.c">isdir.c</A>
2719         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/isdir.m4">isdir.m4</A><BR>gl_ISDIR
2720         <TD ALIGN=LEFT VALIGN=TOP>---
2721       </TR>
2722       <TR>
2723         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=lchown"></A><A HREF="modules/lchown">lchown</A>
2724         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/lchown.h">lchown.h</A>"
2725         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/lchown.c">lchown.c</A>
2726         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lchown.m4">lchown.m4</A><BR>gl_FUNC_LCHOWN
2727         <TD ALIGN=LEFT VALIGN=TOP>chown<BR>stat-macros
2728       </TR>
2729       <TR>
2730         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mkdir-p"></A><A HREF="modules/mkdir-p">mkdir-p</A>
2731         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mkdir-p.h">mkdir-p.h</A>"
2732         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mkdir-p.c">mkdir-p.c</A>
2733         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/afs.m4">afs.m4</A><BR><A HREF="m4/mkdir-p.m4">mkdir-p.m4</A><BR>gl_MKDIR_PARENTS
2734         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>chown<BR>gettext-h<BR>save-cwd<BR>dirname<BR>error<BR>quote<BR>stat-macros<BR>stdbool
2735       </TR>
2736       <TR>
2737         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=modechange"></A><A HREF="modules/modechange">modechange</A>
2738         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/modechange.h">modechange.h</A>"
2739         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/modechange.c">modechange.c</A>
2740         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/modechange.m4">modechange.m4</A><BR>gl_MODECHANGE
2741         <TD ALIGN=LEFT VALIGN=TOP>stat-macros<BR>xalloc
2742       </TR>
2743       <TR>
2744         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mountlist"></A><A HREF="modules/mountlist">mountlist</A>
2745         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mountlist.h">mountlist.h</A>"
2746         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mountlist.c">mountlist.c</A>
2747         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ls-mntd-fs.m4">ls-mntd-fs.m4</A><BR><A HREF="m4/fstypename.m4">fstypename.m4</A><BR><A HREF="m4/mountlist.m4">mountlist.m4</A><BR>gl_MOUNTLIST
2748         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xalloc
2749       </TR>
2750       <TR>
2751         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=pathname"></A><A HREF="modules/pathname">pathname</A>
2752         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/pathname.h">pathname.h</A>"
2753         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/concatpath.c">concatpath.c</A>
2754         <TD ALIGN=LEFT VALIGN=TOP>---
2755         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stpcpy
2756       </TR>
2757       <TR>
2758         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=pathmax"></A><A HREF="modules/pathmax">pathmax</A>
2759         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/pathmax.h">pathmax.h</A>"
2760         <TD ALIGN=LEFT VALIGN=TOP>---
2761         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/pathmax.m4">pathmax.m4</A><BR>gl_PATHMAX
2762         <TD ALIGN=LEFT VALIGN=TOP>---
2763       </TR>
2764       <TR>
2765         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=same"></A><A HREF="modules/same">same</A>
2766         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/same.h">same.h</A>"
2767         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/same.c">same.c</A>
2768         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/same.m4">same.m4</A><BR>gl_SAME
2769         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>error<BR>dirname<BR>stdbool
2770       </TR>
2771       <TR>
2772         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=save-cwd"></A><A HREF="modules/save-cwd">save-cwd</A>
2773         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/save-cwd.h">save-cwd.h</A>"
2774         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/save-cwd.c">save-cwd.c</A>
2775         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/save-cwd.m4">save-cwd.m4</A><BR>gl_SAVE_CWD
2776         <TD ALIGN=LEFT VALIGN=TOP>chdir-long<BR>unistd-safer<BR>xgetcwd<BR>stdbool
2777       </TR>
2778       <TR>
2779         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=savedir"></A><A HREF="modules/savedir">savedir</A>
2780         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/savedir.h">savedir.h</A>"
2781         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/savedir.c">savedir.c</A>
2782         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/savedir.m4">savedir.m4</A><BR>gl_SAVEDIR
2783         <TD ALIGN=LEFT VALIGN=TOP>xalloc
2784       </TR>
2785       <TR>
2786         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unlinkdir"></A><A HREF="modules/unlinkdir">unlinkdir</A>
2787         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/unlinkdir.h">unlinkdir.h</A>"
2788         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/unlinkdir.c">unlinkdir.c</A>
2789         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unlinkdir.m4">unlinkdir.m4</A><BR>gl_UNLINKDIR
2790         <TD ALIGN=LEFT VALIGN=TOP>stdbool
2791       </TR>
2792       <TR>
2793         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utimecmp"></A><A HREF="modules/utimecmp">utimecmp</A>
2794         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/utimecmp.h">utimecmp.h</A>"
2795         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/intprops.h">intprops.h</A><BR><A HREF="lib/utimecmp.c">utimecmp.c</A>
2796         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utimecmp.m4">utimecmp.m4</A><BR>gl_UTIMECMP
2797         <TD ALIGN=LEFT VALIGN=TOP>hash<BR>timespec<BR>utimens<BR>xalloc<BR>stdbool
2798       </TR>
2799       <TR>
2800         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utimens"></A><A HREF="modules/utimens">utimens</A>
2801         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/utimens.h">utimens.h</A>"
2802         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utimens.c">utimens.c</A>
2803         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utimens.m4">utimens.m4</A><BR><A HREF="m4/utimes.m4">utimes.m4</A><BR>gl_UTIMENS
2804         <TD ALIGN=LEFT VALIGN=TOP>timespec
2805       </TR>
2806       <TR>
2807         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xgetcwd"></A><A HREF="modules/xgetcwd">xgetcwd</A>
2808         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xgetcwd.h">xgetcwd.h</A>"
2809         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xgetcwd.c">xgetcwd.c</A>
2810         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xgetcwd.m4">xgetcwd.m4</A><BR>gl_XGETCWD
2811         <TD ALIGN=LEFT VALIGN=TOP>getcwd<BR>xalloc<BR>stdbool
2812       </TR>
2813       <TR>
2814         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xreadlink"></A><A HREF="modules/xreadlink">xreadlink</A>
2815         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xreadlink.h">xreadlink.h</A>"
2816         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xreadlink.c">xreadlink.c</A>
2817         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xreadlink.m4">xreadlink.m4</A><BR>gl_XREADLINK
2818         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>readlink<BR>ssize_t
2819       </TR>
2820     </TABLE>
2821     <H3><A NAME="posix_ext_filedesc">File descriptor based Input/Output</A></H3>
2822     <TABLE>
2823       <TR>
2824         <TH ALIGN=LEFT>modules/
2825         <TH ALIGN=LEFT>lib/
2826         <TH ALIGN=LEFT>lib/
2827         <TH ALIGN=LEFT>m4/
2828         <TH ALIGN=LEFT>&nbsp;
2829       </TR>
2830       <TR>
2831         <TH ALIGN=LEFT>Module
2832         <TH ALIGN=LEFT>Header
2833         <TH ALIGN=LEFT>Implementation
2834         <TH ALIGN=LEFT>Autoconf macro
2835         <TH ALIGN=LEFT>Depends on
2836       </TR>
2837       <TR>
2838         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=safe-read"></A><A HREF="modules/safe-read">safe-read</A>
2839         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/safe-read.h">safe-read.h</A>"
2840         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/safe-read.c">safe-read.c</A>
2841         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/safe-read.m4">safe-read.m4</A><BR>gl_SAFE_READ
2842         <TD ALIGN=LEFT VALIGN=TOP>ssize_t
2843       </TR>
2844       <TR>
2845         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=safe-write"></A><A HREF="modules/safe-write">safe-write</A>
2846         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/safe-write.h">safe-write.h</A>"
2847         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/safe-write.c">safe-write.c</A>
2848         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/safe-write.m4">safe-write.m4</A><BR>gl_SAFE_WRITE
2849         <TD ALIGN=LEFT VALIGN=TOP>safe-read
2850       </TR>
2851       <TR>
2852         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=full-read"></A><A HREF="modules/full-read">full-read</A>
2853         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/full-read.h">full-read.h</A>"
2854         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/full-read.c">full-read.c</A>
2855         <TD ALIGN=LEFT VALIGN=TOP>---
2856         <TD ALIGN=LEFT VALIGN=TOP>safe-read<BR>full-write
2857       </TR>
2858       <TR>
2859         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=full-write"></A><A HREF="modules/full-write">full-write</A>
2860         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/full-write.h">full-write.h</A>"
2861         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/full-write.c">full-write.c</A>
2862         <TD ALIGN=LEFT VALIGN=TOP>---
2863         <TD ALIGN=LEFT VALIGN=TOP>safe-write
2864       </TR>
2865       <TR>
2866         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=binary-io"></A><A HREF="modules/binary-io">binary-io</A>
2867         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/binary-io.h">binary-io.h</A>"
2868         <TD ALIGN=LEFT VALIGN=TOP>---
2869         <TD ALIGN=LEFT VALIGN=TOP>---
2870         <TD ALIGN=LEFT VALIGN=TOP>---
2871       </TR>
2872     </TABLE>
2873     <H3><A NAME="posix_ext_filestream">File stream based Input/Output</A></H3>
2874     <TABLE>
2875       <TR>
2876         <TH ALIGN=LEFT>modules/
2877         <TH ALIGN=LEFT>lib/
2878         <TH ALIGN=LEFT>lib/
2879         <TH ALIGN=LEFT>m4/
2880         <TH ALIGN=LEFT>&nbsp;
2881       </TR>
2882       <TR>
2883         <TH ALIGN=LEFT>Module
2884         <TH ALIGN=LEFT>Header
2885         <TH ALIGN=LEFT>Implementation
2886         <TH ALIGN=LEFT>Autoconf macro
2887         <TH ALIGN=LEFT>Depends on
2888       </TR>
2889       <TR>
2890         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=fpending"></A><A HREF="modules/fpending">fpending</A>
2891         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/__fpending.h">__fpending.h</A>"
2892         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/__fpending.c">__fpending.c</A>
2893         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/fpending.m4">fpending.m4</A><BR>gl_FUNC_FPENDING
2894         <TD ALIGN=LEFT VALIGN=TOP>---
2895       </TR>
2896       <TR>
2897         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=closeout"></A><A HREF="modules/closeout">closeout</A>
2898         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/closeout.h">closeout.h</A>"
2899         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/closeout.c">closeout.c</A>
2900         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/closeout.m4">closeout.m4</A><BR>gl_CLOSEOUT
2901         <TD ALIGN=LEFT VALIGN=TOP>atexit<BR>gettext-h<BR>error<BR>quotearg<BR>fpending<BR>exitfail<BR>stdbool
2902       </TR>
2903       <TR>
2904         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stdio-safer"></A><A HREF="modules/stdio-safer">stdio-safer</A>
2905         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stdio-safer.h">stdio-safer.h</A>"
2906         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/fopen-safer.c">fopen-safer.c</A>
2907         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stdio-safer.m4">stdio-safer.m4</A><BR>gl_STDIO_SAFER
2908         <TD ALIGN=LEFT VALIGN=TOP>unistd-safer
2909       </TR>
2910       <TR>
2911         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getpass"></A><A HREF="modules/getpass">getpass</A>
2912         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getpass.h">getpass.h</A>"
2913         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getpass.c">getpass.c</A>
2914         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getpass.m4">getpass.m4</A><BR>gl_FUNC_GETPASS
2915         <TD ALIGN=LEFT VALIGN=TOP>getline<BR>stdbool
2916       </TR>
2917       <TR>
2918         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getpass-gnu"></A><A HREF="modules/getpass-gnu">getpass-gnu</A>
2919         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getpass.h">getpass.h</A>"
2920         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getpass.c">getpass.c</A>
2921         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getpass.m4">getpass.m4</A><BR>gl_FUNC_GETPASS_GNU
2922         <TD ALIGN=LEFT VALIGN=TOP>getline<BR>stdbool
2923       </TR>
2924     </TABLE>
2925     <H3><A NAME="posix_ext_uidgid">Users and groups</A></H3>
2926     <TABLE>
2927       <TR>
2928         <TH ALIGN=LEFT>modules/
2929         <TH ALIGN=LEFT>lib/
2930         <TH ALIGN=LEFT>lib/
2931         <TH ALIGN=LEFT>m4/
2932         <TH ALIGN=LEFT>&nbsp;
2933       </TR>
2934       <TR>
2935         <TH ALIGN=LEFT>Module
2936         <TH ALIGN=LEFT>Header
2937         <TH ALIGN=LEFT>Implementation
2938         <TH ALIGN=LEFT>Autoconf macro
2939         <TH ALIGN=LEFT>Depends on
2940       </TR>
2941       <TR>
2942         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getugroups"></A><A HREF="modules/getugroups">getugroups</A>
2943         <TD ALIGN=LEFT VALIGN=TOP>---
2944         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getugroups.c">getugroups.c</A>
2945         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getugroups.m4">getugroups.m4</A><BR>gl_GETUGROUPS
2946         <TD ALIGN=LEFT VALIGN=TOP>---
2947       </TR>
2948       <TR>
2949         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=group-member"></A><A HREF="modules/group-member">group-member</A>
2950         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/group-member.h">group-member.h</A>"
2951         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/group-member.c">group-member.c</A>
2952         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/group-member.m4">group-member.m4</A><BR>gl_FUNC_GROUP_MEMBER
2953         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stdbool
2954       </TR>
2955       <TR>
2956         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=idcache"></A><A HREF="modules/idcache">idcache</A>
2957         <TD ALIGN=LEFT VALIGN=TOP>---
2958         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/idcache.c">idcache.c</A>
2959         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/idcache.m4">idcache.m4</A><BR>gl_IDCACHE
2960         <TD ALIGN=LEFT VALIGN=TOP>xalloc
2961       </TR>
2962       <TR>
2963         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=userspec"></A><A HREF="modules/userspec">userspec</A>
2964         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/userspec.h">userspec.h</A>"
2965         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/inttostr.h">inttostr.h</A><BR><A HREF="lib/intprops.h">intprops.h</A><BR><A HREF="lib/userspec.c">userspec.c</A>
2966         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/userspec.m4">userspec.m4</A><BR>gl_USERSPEC
2967         <TD ALIGN=LEFT VALIGN=TOP>posixver<BR>xalloc<BR>xstrtol<BR>strdup<BR>gettext-h<BR>stdbool
2968       </TR>
2969     </TABLE>
2970     <H3><A NAME="posix_ext_datetime">Date and time</A></H3>
2971     <TABLE>
2972       <TR>
2973         <TH ALIGN=LEFT>modules/
2974         <TH ALIGN=LEFT>lib/
2975         <TH ALIGN=LEFT>lib/
2976         <TH ALIGN=LEFT>m4/
2977         <TH ALIGN=LEFT>&nbsp;
2978       </TR>
2979       <TR>
2980         <TH ALIGN=LEFT>Module
2981         <TH ALIGN=LEFT>Header
2982         <TH ALIGN=LEFT>Implementation
2983         <TH ALIGN=LEFT>Autoconf macro
2984         <TH ALIGN=LEFT>Depends on
2985       </TR>
2986       <TR>
2987         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gettime"></A><A HREF="modules/gettime">gettime</A>
2988         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/timespec.h">timespec.h</A>"
2989         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gettime.c">gettime.c</A>
2990         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/clock_time.m4">clock_time.m4</A><BR><A HREF="m4/gettime.m4">gettime.m4</A><BR>gl_GETTIME
2991         <TD ALIGN=LEFT VALIGN=TOP>gettimeofday<BR>timespec
2992       </TR>
2993       <TR>
2994         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=settime"></A><A HREF="modules/settime">settime</A>
2995         <TD ALIGN=LEFT VALIGN=TOP>---
2996         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/settime.c">settime.c</A>
2997         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/clock_time.m4">clock_time.m4</A><BR><A HREF="m4/settime.m4">settime.m4</A><BR>gl_SETTIME
2998         <TD ALIGN=LEFT VALIGN=TOP>timespec
2999       </TR>
3000       <TR>
3001         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=posixtm"></A><A HREF="modules/posixtm">posixtm</A>
3002         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/posixtm.h">posixtm.h</A>"
3003         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/posixtm.c">posixtm.c</A>
3004         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/posixtm.m4">posixtm.m4</A><BR>gl_POSIXTM
3005         <TD ALIGN=LEFT VALIGN=TOP>mktime<BR>stdbool
3006       </TR>
3007       <TR>
3008         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xnanosleep"></A><A HREF="modules/xnanosleep">xnanosleep</A>
3009         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xnanosleep.h">xnanosleep.h</A>"
3010         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/intprops.h">intprops.h</A><BR><A HREF="lib/xnanosleep.c">xnanosleep.c</A>
3011         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/xnanosleep.m4">xnanosleep.m4</A><BR>gl_XNANOSLEEP
3012         <TD ALIGN=LEFT VALIGN=TOP>timespec<BR>stdbool
3013       </TR>
3014     </TABLE>
3015     <H3><A NAME="posix_ext_net">Networking functions</A></H3>
3016     <TABLE>
3017       <TR>
3018         <TH ALIGN=LEFT>modules/
3019         <TH ALIGN=LEFT>lib/
3020         <TH ALIGN=LEFT>lib/
3021         <TH ALIGN=LEFT>m4/
3022         <TH ALIGN=LEFT>&nbsp;
3023       </TR>
3024       <TR>
3025         <TH ALIGN=LEFT>Module
3026         <TH ALIGN=LEFT>Header
3027         <TH ALIGN=LEFT>Implementation
3028         <TH ALIGN=LEFT>Autoconf macro
3029         <TH ALIGN=LEFT>Depends on
3030       </TR>
3031       <TR>
3032         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xgethostname"></A><A HREF="modules/xgethostname">xgethostname</A>
3033         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xgethostname.h">xgethostname.h</A>"
3034         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xgethostname.c">xgethostname.c</A>
3035         <TD ALIGN=LEFT VALIGN=TOP>---
3036         <TD ALIGN=LEFT VALIGN=TOP>gethostname<BR>xalloc
3037       </TR>
3038       <TR>
3039         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=canon-host"></A><A HREF="modules/canon-host">canon-host</A>
3040         <TD ALIGN=LEFT VALIGN=TOP>---
3041         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/canon-host.c">canon-host.c</A>
3042         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/canon-host.m4">canon-host.m4</A><BR>gl_CANON_HOST
3043         <TD ALIGN=LEFT VALIGN=TOP>strdup
3044       </TR>
3045     </TABLE>
3046     <H3><A NAME="posix_ext_thread">Multithreading</A></H3>
3047     <TABLE>
3048       <TR>
3049         <TH ALIGN=LEFT>modules/
3050         <TH ALIGN=LEFT>lib/
3051         <TH ALIGN=LEFT>lib/
3052         <TH ALIGN=LEFT>m4/
3053         <TH ALIGN=LEFT>&nbsp;
3054       </TR>
3055       <TR>
3056         <TH ALIGN=LEFT>Module
3057         <TH ALIGN=LEFT>Header
3058         <TH ALIGN=LEFT>Implementation
3059         <TH ALIGN=LEFT>Autoconf macro
3060         <TH ALIGN=LEFT>Depends on
3061       </TR>
3062       <TR>
3063         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=lock"></A><A HREF="modules/lock">lock</A>
3064         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/lock.h">lock.h</A>"
3065         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/lock.c">lock.c</A>
3066         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/lock.m4">lock.m4</A><BR><A HREF="m4/lib-ld.m4">lib-ld.m4</A><BR><A HREF="m4/lib-link.m4">lib-link.m4</A><BR><A HREF="m4/lib-prefix.m4">lib-prefix.m4</A><BR>gl_LOCK
3067         <TD ALIGN=LEFT VALIGN=TOP>---
3068       </TR>
3069       <TR>
3070         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=tls"></A><A HREF="modules/tls">tls</A>
3071         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/tls.h">tls.h</A>"
3072         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/tls.c">tls.c</A>
3073         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/tls.m4">tls.m4</A><BR>gl_TLS
3074         <TD ALIGN=LEFT VALIGN=TOP>lock
3075       </TR>
3076     </TABLE>
3077     <H3><A NAME="posix_ext_i18n">Internationalization functions</A></H3>
3078     <TABLE>
3079       <TR>
3080         <TH ALIGN=LEFT>modules/
3081         <TH ALIGN=LEFT>lib/
3082         <TH ALIGN=LEFT>lib/
3083         <TH ALIGN=LEFT>m4/
3084         <TH ALIGN=LEFT>&nbsp;
3085       </TR>
3086       <TR>
3087         <TH ALIGN=LEFT>Module
3088         <TH ALIGN=LEFT>Header
3089         <TH ALIGN=LEFT>Implementation
3090         <TH ALIGN=LEFT>Autoconf macro
3091         <TH ALIGN=LEFT>Depends on
3092       </TR>
3093       <TR>
3094         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gettext"></A><A HREF="modules/gettext">gettext</A>
3095         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gettext.h">gettext.h</A>"
3096         <TD ALIGN=LEFT VALIGN=TOP>---
3097         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/codeset.m4">codeset.m4</A><BR><A HREF="m4/gettext.m4">gettext.m4</A><BR><A HREF="m4/glibc2.m4">glibc2.m4</A><BR><A HREF="m4/glibc21.m4">glibc21.m4</A><BR><A HREF="m4/iconv.m4">iconv.m4</A><BR><A HREF="m4/intdiv0.m4">intdiv0.m4</A><BR><A HREF="m4/intmax.m4">intmax.m4</A><BR><A HREF="m4/inttypes.m4">inttypes.m4</A><BR><A HREF="m4/inttypes_h.m4">inttypes_h.m4</A><BR><A HREF="m4/inttypes-pri.m4">inttypes-pri.m4</A><BR><A HREF="m4/isc-posix.m4">isc-posix.m4</A><BR><A HREF="m4/lcmessage.m4">lcmessage.m4</A><BR><A HREF="m4/lib-ld.m4">lib-ld.m4</A><BR><A HREF="m4/lib-link.m4">lib-link.m4</A><BR><A HREF="m4/lib-prefix.m4">lib-prefix.m4</A><BR><A HREF="m4/longdouble.m4">longdouble.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR><A HREF="m4/nls.m4">nls.m4</A><BR><A HREF="m4/po.m4">po.m4</A><BR><A HREF="m4/printf-posix.m4">printf-posix.m4</A><BR><A HREF="m4/progtest.m4">progtest.m4</A><BR><A HREF="m4/signed.m4">signed.m4</A><BR><A HREF="m4/size_max.m4">size_max.m4</A><BR><A HREF="m4/stdint_h.m4">stdint_h.m4</A><BR><A HREF="m4/uintmax_t.m4">uintmax_t.m4</A><BR><A HREF="m4/ulonglong.m4">ulonglong.m4</A><BR><A HREF="m4/wchar_t.m4">wchar_t.m4</A><BR><A HREF="m4/wint_t.m4">wint_t.m4</A><BR><A HREF="m4/xsize.m4">xsize.m4</A><BR>AM_GNU_GETTEXT([external])
3098         <TD ALIGN=LEFT VALIGN=TOP>gettext-h
3099       </TR>
3100       <TR>
3101         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gettext-h"></A><A HREF="modules/gettext-h">gettext-h</A>
3102         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/gettext.h">gettext.h</A>"
3103         <TD ALIGN=LEFT VALIGN=TOP>---
3104         <TD ALIGN=LEFT VALIGN=TOP>---
3105         <TD ALIGN=LEFT VALIGN=TOP>---
3106       </TR>
3107       <TR>
3108         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=iconv"></A><A HREF="modules/iconv">iconv</A>
3109         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;<A HREF="http://www.opengroup.org/susv3xbd/iconv.h.html">iconv.h</A>&gt;
3110         <TD ALIGN=LEFT VALIGN=TOP>---
3111         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/iconv.m4">iconv.m4</A><BR><A HREF="m4/lib-ld.m4">lib-ld.m4</A><BR><A HREF="m4/lib-link.m4">lib-link.m4</A><BR><A HREF="m4/lib-prefix.m4">lib-prefix.m4</A><BR>AM_ICONV
3112         <TD ALIGN=LEFT VALIGN=TOP>---
3113       </TR>
3114       <TR>
3115         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=iconvme"></A><A HREF="modules/iconvme">iconvme</A>
3116         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/iconvme.h">iconvme.h</A>"
3117         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/iconvme.c">iconvme.c</A>
3118         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/iconvme.m4">iconvme.m4</A><BR>gl_ICONVME
3119         <TD ALIGN=LEFT VALIGN=TOP>iconv<BR>strdup
3120       </TR>
3121       <TR>
3122         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=localcharset"></A><A HREF="modules/localcharset">localcharset</A>
3123         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/localcharset.h">localcharset.h</A>"
3124         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/localcharset.c">localcharset.c</A><BR><A HREF="lib/config.charset">config.charset</A><BR><A HREF="lib/ref-add.sin">ref-add.sin</A><BR><A HREF="lib/ref-del.sin">ref-del.sin</A>
3125         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/codeset.m4">codeset.m4</A><BR><A HREF="m4/glibc21.m4">glibc21.m4</A><BR><A HREF="m4/localcharset.m4">localcharset.m4</A><BR>gl_LOCALCHARSET
3126         <TD ALIGN=LEFT VALIGN=TOP>---
3127       </TR>
3128       <TR>
3129         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=hard-locale"></A><A HREF="modules/hard-locale">hard-locale</A>
3130         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/hard-locale.h">hard-locale.h</A>"
3131         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/hard-locale.c">hard-locale.c</A>
3132         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/hard-locale.m4">hard-locale.m4</A><BR>gl_HARD_LOCALE
3133         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>strdup
3134       </TR>
3135       <TR>
3136         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=mbswidth"></A><A HREF="modules/mbswidth">mbswidth</A>
3137         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/mbswidth.h">mbswidth.h</A>"
3138         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/mbswidth.c">mbswidth.c</A>
3139         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbstate_t.m4">mbstate_t.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR><A HREF="m4/mbswidth.m4">mbswidth.m4</A><BR>gl_MBSWIDTH
3140         <TD ALIGN=LEFT VALIGN=TOP>---
3141       </TR>
3142       <TR>
3143         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memcasecmp"></A><A HREF="modules/memcasecmp">memcasecmp</A>
3144         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memcasecmp.h">memcasecmp.h</A>"
3145         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memcasecmp.c">memcasecmp.c</A>
3146         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memcasecmp.m4">memcasecmp.m4</A><BR>gl_MEMCASECMP
3147         <TD ALIGN=LEFT VALIGN=TOP>---
3148       </TR>
3149       <TR>
3150         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=memcoll"></A><A HREF="modules/memcoll">memcoll</A>
3151         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/memcoll.h">memcoll.h</A>"
3152         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/memcoll.c">memcoll.c</A>
3153         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/memcoll.m4">memcoll.m4</A><BR>gl_MEMCOLL
3154         <TD ALIGN=LEFT VALIGN=TOP>---
3155       </TR>
3156       <TR>
3157         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xmemcoll"></A><A HREF="modules/xmemcoll">xmemcoll</A>
3158         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xmemcoll.h">xmemcoll.h</A>"
3159         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xmemcoll.c">xmemcoll.c</A>
3160         <TD ALIGN=LEFT VALIGN=TOP>---
3161         <TD ALIGN=LEFT VALIGN=TOP>memcoll<BR>gettext-h<BR>error<BR>quotearg<BR>exitfail
3162       </TR>
3163       <TR>
3164         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=unicodeio"></A><A HREF="modules/unicodeio">unicodeio</A>
3165         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/unicodeio.h">unicodeio.h</A>"
3166         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/unicodeio.c">unicodeio.c</A>
3167         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/unicodeio.m4">unicodeio.m4</A><BR>gl_UNICODEIO
3168         <TD ALIGN=LEFT VALIGN=TOP>iconv<BR>gettext-h<BR>localcharset<BR>error
3169       </TR>
3170       <TR>
3171         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=rpmatch"></A><A HREF="modules/rpmatch">rpmatch</A>
3172         <TD ALIGN=LEFT VALIGN=TOP>---
3173         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/rpmatch.c">rpmatch.c</A>
3174         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/rpmatch.m4">rpmatch.m4</A><BR>gl_FUNC_RPMATCH
3175         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>regex
3176       </TR>
3177       <TR>
3178         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=yesno"></A><A HREF="modules/yesno">yesno</A>
3179         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/yesno.h">yesno.h</A>"
3180         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/yesno.c">yesno.c</A>
3181         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/yesno.m4">yesno.m4</A><BR>gl_YESNO
3182         <TD ALIGN=LEFT VALIGN=TOP>getline<BR>rpmatch<BR>stdbool
3183       </TR>
3184       <TR>
3185         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ucs4-utf8"></A><A HREF="modules/ucs4-utf8">ucs4-utf8</A>
3186         <TD ALIGN=LEFT VALIGN=TOP>---
3187         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/ucs4-utf8.h">ucs4-utf8.h</A>
3188         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ucs4-utf.m4">ucs4-utf.m4</A><BR>gl_UCS4_UTF
3189         <TD ALIGN=LEFT VALIGN=TOP>---
3190       </TR>
3191       <TR>
3192         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=ucs4-utf16"></A><A HREF="modules/ucs4-utf16">ucs4-utf16</A>
3193         <TD ALIGN=LEFT VALIGN=TOP>---
3194         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/ucs4-utf16.h">ucs4-utf16.h</A>
3195         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/ucs4-utf.m4">ucs4-utf.m4</A><BR>gl_UCS4_UTF
3196         <TD ALIGN=LEFT VALIGN=TOP>---
3197       </TR>
3198       <TR>
3199         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utf8-ucs4"></A><A HREF="modules/utf8-ucs4">utf8-ucs4</A>
3200         <TD ALIGN=LEFT VALIGN=TOP>---
3201         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utf8-ucs4.h">utf8-ucs4.h</A>
3202         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utf-ucs4.m4">utf-ucs4.m4</A><BR>gl_UTF_UCS4
3203         <TD ALIGN=LEFT VALIGN=TOP>---
3204       </TR>
3205       <TR>
3206         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=utf16-ucs4"></A><A HREF="modules/utf16-ucs4">utf16-ucs4</A>
3207         <TD ALIGN=LEFT VALIGN=TOP>---
3208         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/utf16-ucs4.h">utf16-ucs4.h</A>
3209         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/utf-ucs4.m4">utf-ucs4.m4</A><BR>gl_UTF_UCS4
3210         <TD ALIGN=LEFT VALIGN=TOP>---
3211       </TR>
3212       <TR>
3213         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=linebreak"></A><A HREF="modules/linebreak">linebreak</A>
3214         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/linebreak.h">linebreak.h</A>"
3215         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/linebreak.c">linebreak.c</A><BR><A HREF="lib/lbrkprop.h">lbrkprop.h</A>
3216         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/linebreak.m4">linebreak.m4</A><BR>gl_LINEBREAK
3217         <TD ALIGN=LEFT VALIGN=TOP>localcharset<BR>c-ctype<BR>iconv<BR>utf8-ucs4<BR>utf16-ucs4<BR>xsize
3218       </TR>
3219     </TABLE>
3220     <H3><A NAME="posix_ext_exec">Executing programs</A></H3>
3221     <TABLE>
3222       <TR>
3223         <TH ALIGN=LEFT>modules/
3224         <TH ALIGN=LEFT>lib/
3225         <TH ALIGN=LEFT>lib/
3226         <TH ALIGN=LEFT>m4/
3227         <TH ALIGN=LEFT>&nbsp;
3228       </TR>
3229       <TR>
3230         <TH ALIGN=LEFT>Module
3231         <TH ALIGN=LEFT>Header
3232         <TH ALIGN=LEFT>Implementation
3233         <TH ALIGN=LEFT>Autoconf macro
3234         <TH ALIGN=LEFT>Depends on
3235       </TR>
3236       <TR>
3237         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=cloexec"></A><A HREF="modules/cloexec">cloexec</A>
3238         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/cloexec.h">cloexec.h</A>"
3239         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/cloexec.c">cloexec.c</A>
3240         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/cloexec.m4">cloexec.m4</A><BR>gl_CLOEXEC
3241         <TD ALIGN=LEFT VALIGN=TOP>stdbool
3242       </TR>
3243       <TR>
3244         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=findprog"></A><A HREF="modules/findprog">findprog</A>
3245         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/findprog.h">findprog.h</A>"
3246         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/findprog.c">findprog.c</A>
3247         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/findprog.m4">findprog.m4</A><BR><A HREF="m4/eaccess.m4">eaccess.m4</A><BR>gl_FINDPROG
3248         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xalloc<BR>pathname
3249       </TR>
3250       <TR>
3251         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=wait-process"></A><A HREF="modules/wait-process">wait-process</A>
3252         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/wait-process.h">wait-process.h</A>"
3253         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/wait-process.c">wait-process.c</A>
3254         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/wait-process.m4">wait-process.m4</A><BR><A HREF="m4/sig_atomic_t.m4">sig_atomic_t.m4</A><BR>gl_WAIT_PROCESS
3255         <TD ALIGN=LEFT VALIGN=TOP>fatal-signal<BR>error<BR>exit<BR>xalloc<BR>gettext-h<BR>stdbool
3256       </TR>
3257       <TR>
3258         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=execute"></A><A HREF="modules/execute">execute</A>
3259         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/execute.h">execute.h</A>"
3260         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/execute.c">execute.c</A><BR><A HREF="lib/w32spawn.h">w32spawn.h</A>
3261         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/execute.m4">execute.m4</A><BR>gl_EXECUTE
3262         <TD ALIGN=LEFT VALIGN=TOP>error<BR>exit<BR>fatal-signal<BR>wait-process<BR>gettext-h<BR>stdbool<BR>strpbrk
3263       </TR>
3264       <TR>
3265         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=pipe"></A><A HREF="modules/pipe">pipe</A>
3266         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/pipe.h">pipe.h</A>"
3267         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/pipe.c">pipe.c</A><BR><A HREF="lib/w32spawn.h">w32spawn.h</A>
3268         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/pipe.m4">pipe.m4</A><BR>gl_PIPE
3269         <TD ALIGN=LEFT VALIGN=TOP>wait-process<BR>error<BR>exit<BR>fatal-signal<BR>gettext-h<BR>stdbool<BR>strpbrk
3270       </TR>
3271       <TR>
3272         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sh-quote"></A><A HREF="modules/sh-quote">sh-quote</A>
3273         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/sh-quote.h">sh-quote.h</A>"
3274         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sh-quote.c">sh-quote.c</A>
3275         <TD ALIGN=LEFT VALIGN=TOP>---
3276         <TD ALIGN=LEFT VALIGN=TOP>quotearg<BR>xalloc
3277       </TR>
3278     </TABLE>
3279     <H3><A NAME="posix_ext_java">Java</A></H3>
3280     <TABLE>
3281       <TR>
3282         <TH ALIGN=LEFT>modules/
3283         <TH ALIGN=LEFT>lib/
3284         <TH ALIGN=LEFT>lib/
3285         <TH ALIGN=LEFT>m4/
3286         <TH ALIGN=LEFT>&nbsp;
3287       </TR>
3288       <TR>
3289         <TH ALIGN=LEFT>Module
3290         <TH ALIGN=LEFT>Header
3291         <TH ALIGN=LEFT>Implementation
3292         <TH ALIGN=LEFT>Autoconf macro
3293         <TH ALIGN=LEFT>Depends on
3294       </TR>
3295       <TR>
3296         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=classpath"></A><A HREF="modules/classpath">classpath</A>
3297         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/classpath.h">classpath.h</A>"
3298         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/classpath.c">classpath.c</A>
3299         <TD ALIGN=LEFT VALIGN=TOP>---
3300         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xsetenv<BR>xalloc
3301       </TR>
3302       <TR>
3303         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=javacomp"></A><A HREF="modules/javacomp">javacomp</A>
3304         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/javacomp.h">javacomp.h</A>"
3305         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/javacomp.c">javacomp.c</A><BR><A HREF="lib/javacomp.sh.in">javacomp.sh.in</A>
3306         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/javacomp.m4">javacomp.m4</A><BR>gt_JAVACOMP
3307         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xallocsa<BR>execute<BR>pipe<BR>wait-process<BR>classpath<BR>xsetenv<BR>sh-quote<BR>safe-read<BR>xalloc<BR>error<BR>gettext-h
3308       </TR>
3309       <TR>
3310         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=javaexec"></A><A HREF="modules/javaexec">javaexec</A>
3311         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/javaexec.h">javaexec.h</A>"
3312         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/javaexec.c">javaexec.c</A><BR><A HREF="lib/javaexec.sh.in">javaexec.sh.in</A>
3313         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/javaexec.m4">javaexec.m4</A><BR>gt_JAVAEXEC
3314         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>execute<BR>classpath<BR>xsetenv<BR>sh-quote<BR>pathname<BR>xalloc<BR>xallocsa<BR>error<BR>gettext-h
3315       </TR>
3316     </TABLE>
3317     <H3><A NAME="posix_ext_csharp">C#</A></H3>
3318     <TABLE>
3319       <TR>
3320         <TH ALIGN=LEFT>modules/
3321         <TH ALIGN=LEFT>lib/
3322         <TH ALIGN=LEFT>lib/
3323         <TH ALIGN=LEFT>m4/
3324         <TH ALIGN=LEFT>&nbsp;
3325       </TR>
3326       <TR>
3327         <TH ALIGN=LEFT>Module
3328         <TH ALIGN=LEFT>Header
3329         <TH ALIGN=LEFT>Implementation
3330         <TH ALIGN=LEFT>Autoconf macro
3331         <TH ALIGN=LEFT>Depends on
3332       </TR>
3333       <TR>
3334         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=csharpcomp"></A><A HREF="modules/csharpcomp">csharpcomp</A>
3335         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/csharpcomp.h">csharpcomp.h</A>"
3336         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/csharpcomp.c">csharpcomp.c</A><BR><A HREF="lib/csharpcomp.sh.in">csharpcomp.sh.in</A>
3337         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/csharpcomp.m4">csharpcomp.m4</A><BR><A HREF="m4/csharp.m4">csharp.m4</A><BR>gt_CSHARPCOMP
3338         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>xallocsa<BR>execute<BR>pipe<BR>wait-process<BR>getline<BR>sh-quote<BR>safe-read<BR>error<BR>gettext-h
3339       </TR>
3340       <TR>
3341         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=csharpexec"></A><A HREF="modules/csharpexec">csharpexec</A>
3342         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/csharpexec.h">csharpexec.h</A>"
3343         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/csharpexec.c">csharpexec.c</A><BR><A HREF="lib/csharpexec.sh.in">csharpexec.sh.in</A><BR><A HREF="lib/classpath.h">classpath.h</A><BR><A HREF="lib/classpath.c">classpath.c</A>
3344         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/csharpexec.m4">csharpexec.m4</A><BR><A HREF="m4/csharp.m4">csharp.m4</A><BR>gt_CSHARPEXEC
3345         <TD ALIGN=LEFT VALIGN=TOP>stdbool<BR>execute<BR>xsetenv<BR>sh-quote<BR>xalloc<BR>xallocsa<BR>error<BR>gettext-h
3346       </TR>
3347     </TABLE>
3348     <H3><A NAME="posix_ext_misc">Misc</A></H3>
3349     <TABLE>
3350       <TR>
3351         <TH ALIGN=LEFT>modules/
3352         <TH ALIGN=LEFT>lib/
3353         <TH ALIGN=LEFT>lib/
3354         <TH ALIGN=LEFT>m4/
3355         <TH ALIGN=LEFT>&nbsp;
3356       </TR>
3357       <TR>
3358         <TH ALIGN=LEFT>Module
3359         <TH ALIGN=LEFT>Header
3360         <TH ALIGN=LEFT>Implementation
3361         <TH ALIGN=LEFT>Autoconf macro
3362         <TH ALIGN=LEFT>Depends on
3363       </TR>
3364       <TR>
3365         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=argp"></A><A HREF="modules/argp">argp</A>
3366         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/argp.h">argp.h</A>"
3367         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/argp-ba.c">argp-ba.c</A><BR><A HREF="lib/argp-eexst.c">argp-eexst.c</A><BR><A HREF="lib/argp-fmtstream.c">argp-fmtstream.c</A><BR><A HREF="lib/argp-fmtstream.h">argp-fmtstream.h</A><BR><A HREF="lib/argp-fs-xinl.c">argp-fs-xinl.c</A><BR><A HREF="lib/argp-help.c">argp-help.c</A><BR><A HREF="lib/argp-namefrob.h">argp-namefrob.h</A><BR><A HREF="lib/argp-parse.c">argp-parse.c</A><BR><A HREF="lib/argp-pv.c">argp-pv.c</A><BR><A HREF="lib/argp-pvh.c">argp-pvh.c</A><BR><A HREF="lib/argp-xinl.c">argp-xinl.c</A>
3368         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/argp.m4">argp.m4</A><BR>gl_ARGP
3369         <TD ALIGN=LEFT VALIGN=TOP>alloca<BR>getopt<BR>strchrnul<BR>sysexits<BR>mempcpy<BR>strndup<BR>restrict<BR>strcase<BR>extensions<BR>vsnprintf
3370       </TR>
3371       <TR>
3372         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=argz"></A><A HREF="modules/argz">argz</A>
3373         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;argz.h&gt;
3374         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/argz_.h">argz_.h</A><BR><A HREF="lib/argz.c">argz.c</A>
3375         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/argz.m4">argz.m4</A><BR>gl_FUNC_ARGZ
3376         <TD ALIGN=LEFT VALIGN=TOP>---
3377       </TR>
3378       <TR>
3379         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=byteswap"></A><A HREF="modules/byteswap">byteswap</A>
3380         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;byteswap.h&gt;
3381         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/byteswap_.h">byteswap_.h</A>
3382         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/byteswap.m4">byteswap.m4</A><BR>gl_BYTESWAP
3383         <TD ALIGN=LEFT VALIGN=TOP>---
3384       </TR>
3385       <TR>
3386         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=exitfail"></A><A HREF="modules/exitfail">exitfail</A>
3387         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/exitfail.h">exitfail.h</A>"
3388         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/exitfail.c">exitfail.c</A>
3389         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/exitfail.m4">exitfail.m4</A><BR>gl_EXITFAIL
3390         <TD ALIGN=LEFT VALIGN=TOP>exit
3391       </TR>
3392       <TR>
3393         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=c-stack"></A><A HREF="modules/c-stack">c-stack</A>
3394         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/c-stack.h">c-stack.h</A>"
3395         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/c-stack.c">c-stack.c</A>
3396         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/c-stack.m4">c-stack.m4</A><BR>gl_C_STACK
3397         <TD ALIGN=LEFT VALIGN=TOP>gettext-h<BR>exitfail
3398       </TR>
3399       <TR>
3400         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=error"></A><A HREF="modules/error">error</A>
3401         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/error.h">error.h</A>"
3402         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/error.c">error.c</A>
3403         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/error.m4">error.m4</A><BR><A HREF="m4/strerror_r.m4">strerror_r.m4</A><BR>gl_ERROR
3404         <TD ALIGN=LEFT VALIGN=TOP>---
3405       </TR>
3406       <TR>
3407         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=extensions"></A><A HREF="modules/extensions">extensions</A>
3408         <TD ALIGN=LEFT VALIGN=TOP>---
3409         <TD ALIGN=LEFT VALIGN=TOP>---
3410         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/extensions.m4">extensions.m4</A><BR>dnl gl_USE_SYSTEM_EXTENSIONS must be added quite early to configure.ac.
3411         <TD ALIGN=LEFT VALIGN=TOP>---
3412       </TR>
3413       <TR>
3414         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getdomainname"></A><A HREF="modules/getdomainname">getdomainname</A>
3415         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getdomainname.h">getdomainname.h</A>"
3416         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getdomainname.c">getdomainname.c</A>
3417         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getdomainname.m4">getdomainname.m4</A><BR>gl_FUNC_GETDOMAINNAME
3418         <TD ALIGN=LEFT VALIGN=TOP>---
3419       </TR>
3420       <TR>
3421         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=xgetdomainname"></A><A HREF="modules/xgetdomainname">xgetdomainname</A>
3422         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xgetdomainname.h">xgetdomainname.h</A>"
3423         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/xgetdomainname.c">xgetdomainname.c</A>
3424         <TD ALIGN=LEFT VALIGN=TOP>---
3425         <TD ALIGN=LEFT VALIGN=TOP>getdomainname<BR>xalloc
3426       </TR>
3427       <TR>
3428         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getloadavg"></A><A HREF="modules/getloadavg">getloadavg</A>
3429         <TD ALIGN=LEFT VALIGN=TOP>---
3430         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getloadavg.c">getloadavg.c</A><BR><A HREF="lib/intprops.h">intprops.h</A>
3431         <TD ALIGN=LEFT VALIGN=TOP>AC_FUNC_GETLOADAVG
3432         <TD ALIGN=LEFT VALIGN=TOP>cloexec<BR>xalloc<BR>c-strtod<BR>stdbool<BR>unistd-safer
3433       </TR>
3434       <TR>
3435         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getpagesize"></A><A HREF="modules/getpagesize">getpagesize</A>
3436         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/getpagesize.h">getpagesize.h</A>"
3437         <TD ALIGN=LEFT VALIGN=TOP>---
3438         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getpagesize.m4">getpagesize.m4</A><BR>gl_GETPAGESIZE
3439         <TD ALIGN=LEFT VALIGN=TOP>---
3440       </TR>
3441       <TR>
3442         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=getusershell"></A><A HREF="modules/getusershell">getusershell</A>
3443         <TD ALIGN=LEFT VALIGN=TOP>---
3444         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/getusershell.c">getusershell.c</A>
3445         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/getusershell.m4">getusershell.m4</A><BR>gl_PREREQ_GETUSERSHELL
3446         <TD ALIGN=LEFT VALIGN=TOP>stdio-safer<BR>xalloc
3447       </TR>
3448       <TR>
3449         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=physmem"></A><A HREF="modules/physmem">physmem</A>
3450         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/physmem.h">physmem.h</A>"
3451         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/physmem.c">physmem.c</A>
3452         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/physmem.m4">physmem.m4</A><BR>gl_PHYSMEM
3453         <TD ALIGN=LEFT VALIGN=TOP>---
3454       </TR>
3455       <TR>
3456         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=posixver"></A><A HREF="modules/posixver">posixver</A>
3457         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/posixver.h">posixver.h</A>"
3458         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/posixver.c">posixver.c</A>
3459         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/posixver.m4">posixver.m4</A><BR>gl_POSIXVER
3460         <TD ALIGN=LEFT VALIGN=TOP>---
3461       </TR>
3462       <TR>
3463         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=progname"></A><A HREF="modules/progname">progname</A>
3464         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/progname.h">progname.h</A>"
3465         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/progname.c">progname.c</A>
3466         <TD ALIGN=LEFT VALIGN=TOP>---
3467         <TD ALIGN=LEFT VALIGN=TOP>---
3468       </TR>
3469       <TR>
3470         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=quotearg"></A><A HREF="modules/quotearg">quotearg</A>
3471         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/quotearg.h">quotearg.h</A>"
3472         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/quotearg.c">quotearg.c</A>
3473         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/mbstate_t.m4">mbstate_t.m4</A><BR><A HREF="m4/mbrtowc.m4">mbrtowc.m4</A><BR><A HREF="m4/quotearg.m4">quotearg.m4</A><BR>gl_QUOTEARG
3474         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>gettext-h<BR>stdbool
3475       </TR>
3476       <TR>
3477         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=quote"></A><A HREF="modules/quote">quote</A>
3478         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/quote.h">quote.h</A>"
3479         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/quote.c">quote.c</A>
3480         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/quote.m4">quote.m4</A><BR>gl_QUOTE
3481         <TD ALIGN=LEFT VALIGN=TOP>quotearg
3482       </TR>
3483       <TR>
3484         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=readutmp"></A><A HREF="modules/readutmp">readutmp</A>
3485         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/readutmp.h">readutmp.h</A>"
3486         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/readutmp.c">readutmp.c</A>
3487         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/readutmp.m4">readutmp.m4</A><BR>gl_READUTMP
3488         <TD ALIGN=LEFT VALIGN=TOP>xalloc<BR>stdbool
3489       </TR>
3490       <TR>
3491         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sig2str"></A><A HREF="modules/sig2str">sig2str</A>
3492         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/sig2str.h">sig2str.h</A>"
3493         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/intprops.h">intprops.h</A><BR><A HREF="lib/sig2str.c">sig2str.c</A>
3494         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/sig2str.m4">sig2str.m4</A><BR>gl_FUNC_SIG2STR
3495         <TD ALIGN=LEFT VALIGN=TOP>---
3496       </TR>
3497       <TR>
3498         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=sysexits"></A><A HREF="modules/sysexits">sysexits</A>
3499         <TD ALIGN=LEFT VALIGN=TOP>#include &lt;sysexits.h&gt;
3500         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/sysexit_.h">sysexit_.h</A>
3501         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/sysexits.m4">sysexits.m4</A><BR>gl_SYSEXITS
3502         <TD ALIGN=LEFT VALIGN=TOP>---
3503       </TR>
3504       <TR>
3505         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=visibility"></A><A HREF="modules/visibility">visibility</A>
3506         <TD ALIGN=LEFT VALIGN=TOP>---
3507         <TD ALIGN=LEFT VALIGN=TOP>---
3508         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/visibility.m4">visibility.m4</A><BR>gl_VISIBILITY
3509         <TD ALIGN=LEFT VALIGN=TOP>---
3510       </TR>
3511     </TABLE>
3512     <H2>Unclassified modules - please update MODULES.html.sh</H2>
3513     <TABLE>
3514       <TR>
3515         <TH ALIGN=LEFT>modules/
3516         <TH ALIGN=LEFT>lib/
3517         <TH ALIGN=LEFT>lib/
3518         <TH ALIGN=LEFT>m4/
3519         <TH ALIGN=LEFT>&nbsp;
3520       </TR>
3521       <TR>
3522         <TH ALIGN=LEFT>Module
3523         <TH ALIGN=LEFT>Header
3524         <TH ALIGN=LEFT>Implementation
3525         <TH ALIGN=LEFT>Autoconf macro
3526         <TH ALIGN=LEFT>Depends on
3527       </TR>
3528       <TR>
3529         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=chdir-long"></A><A HREF="modules/chdir-long">chdir-long</A>
3530         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/chdir-long.h">chdir-long.h</A>"
3531         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/chdir-long.c">chdir-long.c</A>
3532         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/chdir-long.m4">chdir-long.m4</A><BR>gl_FUNC_CHDIR_LONG
3533         <TD ALIGN=LEFT VALIGN=TOP>openat<BR>mempcpy<BR>memrchr<BR>stdbool
3534       </TR>
3535       <TR>
3536         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=gethrxtime"></A><A HREF="modules/gethrxtime">gethrxtime</A>
3537         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/xtime.h">xtime.h</A>"
3538         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/gethrxtime.c">gethrxtime.c</A><BR><A HREF="lib/gethrxtime.h">gethrxtime.h</A>
3539         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/gethrxtime.m4">gethrxtime.m4</A><BR><A HREF="m4/clock_time.m4">clock_time.m4</A><BR><A HREF="m4/longlong.m4">longlong.m4</A><BR>gl_GETHRXTIME
3540         <TD ALIGN=LEFT VALIGN=TOP>extensions
3541       </TR>
3542       <TR>
3543         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=openat"></A><A HREF="modules/openat">openat</A>
3544         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/openat.h">openat.h</A>"
3545         <TD ALIGN=LEFT VALIGN=TOP><A HREF="lib/openat.c">openat.c</A>
3546         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/openat.m4">openat.m4</A><BR>gl_FUNC_OPENAT
3547         <TD ALIGN=LEFT VALIGN=TOP>save-cwd<BR>gettext-h<BR>error<BR>exitfail<BR>extensions
3548       </TR>
3549       <TR>
3550         <TD ALIGN=LEFT VALIGN=TOP><A NAME="module=stat-macros"></A><A HREF="modules/stat-macros">stat-macros</A>
3551         <TD ALIGN=LEFT VALIGN=TOP>#include "<A HREF="lib/stat-macros.h">stat-macros.h</A>"
3552         <TD ALIGN=LEFT VALIGN=TOP>---
3553         <TD ALIGN=LEFT VALIGN=TOP><A HREF="m4/stat-macros.m4">stat-macros.m4</A><BR>gl_STAT_MACROS
3554         <TD ALIGN=LEFT VALIGN=TOP>---
3555       </TR>
3556     </TABLE>
3557     <H2>Lone files - please create new modules containing them</H2>
3558     <PRE>
3559 <A HREF="lib/progreloc.c">lib/progreloc.c</A>
3560 <A HREF="m4/host-os.m4">m4/host-os.m4</A>
3561 <A HREF="m4/jm-winsz1.m4">m4/jm-winsz1.m4</A>
3562 <A HREF="m4/jm-winsz2.m4">m4/jm-winsz2.m4</A>
3563 <A HREF="m4/link-follow.m4">m4/link-follow.m4</A>
3564 <A HREF="m4/onceonly.m4">m4/onceonly.m4</A>
3565 <A HREF="m4/perl.m4">m4/perl.m4</A>
3566 <A HREF="m4/ptrdiff_max.m4">m4/ptrdiff_max.m4</A>
3567 <A HREF="m4/readdir.m4">m4/readdir.m4</A>
3568 <A HREF="m4/rmdir-errno.m4">m4/rmdir-errno.m4</A>
3569 <A HREF="m4/st_dm_mode.m4">m4/st_dm_mode.m4</A>
3570 <A HREF="m4/uintptr_t.m4">m4/uintptr_t.m4</A>
3571 <A HREF="m4/unlink-busy.m4">m4/unlink-busy.m4</A>
3572 <A HREF="m4/uptime.m4">m4/uptime.m4</A>
3573 </PRE>
3574     <H2>Future developments</H2>
3575     Ideally a module could consist of:
3576     <UL>
3577       <LI>A header file: lib/<VAR>module</VAR>.h
3578       <LI>One or more implementation files: lib/<VAR>module</VAR>.c et al.
3579       <LI>One or more autoconf macro files: m4/<VAR>module</VAR>.m4 et al.
3580       <LI>A configure.ac fragment, Makefile.am fragment, dependency list: modules/<VAR>module</VAR>
3581       <LI>Some documentation
3582       <LI>A POT file and some PO files
3583       <LI>A testsuite
3584     </UL>
3585     <HR>
3586     Generated from <CODE>MODULES.html.sh</CODE> on 16 August 2005.
3587   </BODY>
3588 </HTML>